自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 收藏
  • 关注

原创 Cadence Virtuoso仿真报错的问题

如果是因为更改了元件封装的底层器件参数,则需要将原来上层的元件封装删除,再重新进行添加并仿真。如果是因为更改了与测试点相连的元件参数导致的,则删掉相关的测试点再重新添加。

2022-11-26 19:29:32 8342 1

原创 关于Cadence Virtuoso ADE L仿真时纵坐标为电流而不是电压的问题

仿真的时候发现添加的观察节点的仿真波形纵坐标是电流,但是我想要观察的是节点的电压,于是尝试手动更改设定节点的仿真类型,但发现是灰色的无法进行修改,且仿真之后的纵坐标也是电流,如下图所示:研究了一段时间之后,推测是不是因为选取的位置不对,因为我使用的是form design的方式添加的仿真节点,而我添加的节点是如图所示的红点位置:于是我重新进行选择,这次选择的是这两个节点两端的线,发现添加的仿真节点类型变成了电压型,运行仿真,纵坐标也变成了电压:

2022-11-26 18:52:03 2667

原创 Cadence Virtuoso 原理图仿真报错问题解决

错误的大致意思是有一个计算参数在模型文件中没有找到,且发现和噪声相关,于是推测需要添加噪声相关的文件,继续回到之前的model libraries界面,重新添加一遍之前的文件,但在后面section复选框中选择stat_noise选项,如下图所示。其大致意思就是没有定义相关的模型nch和pch,这两个模型是最基本的pnp mos管和npn mos管,由于不同代工厂厂商的命名不同,因此可以是因为采用了错误的工艺库导致的,因此点击仿真分析页面的setup->model libraries。

2022-11-26 16:42:46 13310 11

原创 Linux下Vivado联动Modelsim生成FSM(状态机)

有很多文章都介绍了在windows下Vivado联动Modelsim生成FSM的教程,在Linux下与在windows下有些许不同。 在前面的部分配置可以参考这一篇文章【原创】在Vivado中调用ModelSim生成FSM的状态转移图-paradoxfx-电子技术应用-AET-中国科技核心期刊-最丰富的电子设计资源平台 在设置simulation setting的时候,要在vsim.more_options哪里再加上-fsmdebug,如下图...

2022-04-03 19:08:44 2299

原创 关于在Linux平台modelsim10.1c版本下AXI测试工程报错的解决方法

出现问题的原因,由于GitHub上axi测试工程的测试代码使用的代码标准为IEEE 1800-2012而在网上查相关的手册可以发现,该标准的发布时间为2013年可是modelsim10.1c版本是13年之前就发行的软件,因此自然不会兼容这些新增的语句,当然换一个版本更高的modelsim不就可以了吗,但问题是,网上现行的Linux版本modelsim安装包都是10.1c版本的,所以只能安装questasim,那如果想要继续使用...

2022-02-24 16:10:46 1304

原创 sublime text 无法安装插件解决思路以及注意事项

今天装sublime text 之后准备安装汉化和verilog语言的插件,发现无论安装什么插件都会显示“unable to download xxx,please view console for more details ”,意思就是安装失败,在网上找了好久的问题,网上大部分的解决办法就是更改control package 的user setting,结果还是下载失败。后来发现是因为连接着校园网,而校园网不支持一些外国网站的访问(比如说想github,虽然国内访问慢一...

2022-02-20 19:43:41 1262

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除