自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

与其用泪水悔恨昨天,不如用汗水拼搏今天

记录学习嵌入式的点点滴滴

  • 博客(232)
  • 资源 (62)
  • 收藏
  • 关注

原创 MB85RC铁电 FRAM驱动(全志平台linux)

测试几天发现一个bug,就是无法一次读取32个字节的数据,1-31,33,128,512都试过了,唯独无法读取32个字节,驱动未报错,但是读取的都是0,找不到原因,估计应该是全志iic驱动的问题,暂时没有折腾,尽量避开32字节读取吧,32字节写入是没问题的。读取32字节的时候,这个打印都结束了才提示DMA读取完成,很有可能就是因为数据都没读取完成,但是底层已经返回了,原因未知,珍爱生命,远离linux驱动,凑合着用吧(⊙o⊙)仔细看底层驱动的打印信息。读取32字节全部是0。试试33字节就正常了。

2024-03-04 14:53:51 330 1

原创 全志T113-S3 裸机SMHC eMMC读写问题记录

之后再次读取数据,读取前将buff清零,确保数据是从emmc读取的,之后进行crc16校验,将读取的数据与写入之前的数据进行校验对比,确保数据一致;将扇区0扇区1一起读取处理,然后将扇区0修改了几个字的数据,对待写入的数据进行CRC16校验,然后再写入到扇区0。问题1:读取扇区的时候,会遇到数据停止位错误,只能屏蔽掉停止位错误这个检测,并不会影响数据读取。就是这个错误,只要读取数据就会产生,什么结束位错误标志,只能进行屏蔽或者忽略;问题2:写数据的时候,会遇到数据CRC校验错误。等待后续找到问题后更新。

2023-10-28 17:51:44 790

原创 安卓ViewPager2嵌套fragment中控件点击失效问题

在ViewPager2中嵌套了多个fragment,一个小小的按钮都无法操作,网上找了一上午,都没法成功,最后才发现在嵌套的fragment中,获取view的方式有误导致的,我再子fragment中使用了常规的fragment的方式获取view.常规的fragment中通过binding来获取view,然后进行操作,但是一旦这个fragment被嵌套到viewpage2中,这个方式就不行了,所有对子控件的操作实际上都失效了,但是程序不会产生异常,只是无法操作子控件,更无法监听事件。

2023-05-28 11:01:04 893

原创 未能找到任何适合于指定的区域性或非特定区域性的资源

未能找到任何适合于指定的区域性或非特定区域性的资源。请确保在编译时已将“WindowsFormsDemo.UnifiedConfigListControl.resources”正确嵌入或链接到程序集“设备配置助手”,或者确保所有需要的附属程序集都可加载并已进行了完全签名

2023-03-15 11:29:09 1089 1

原创 STM32 W5500驱动,模拟socket接口

STM32驱动W5500,使用的SPI接口,中断接收,虚拟了socket接口,能够稳定运行,用了几年也没出现啥异常,现在在遥测终端机SCJ-RTU01的以太网中依旧使用,中间层使用的虚拟socket操作,支持多线程,多socket,经常使用的TCP客户端,UDP客户端均稳定工作.

2023-03-04 15:28:49 585

原创 生态流量数据采集传输协议定制开发(嵌入式水资源SZY206协议以及VC++ POST数据发送)

水电站生态流量在线监测,流量数据采集传输,水资源遥测终端机程序。背景:现场使用SCJ-LL01多普勒超声波流量计采集生态下泄流量,使用太阳能供电系统,使用SCJ-RTU01遥测终端机进行数据采集,设备采用4G通讯,并配有串口工业相机抓拍照片。要求:数据上传到指定的市监测平台,数据上传协议可以使用水资源SZY206或者http上传,但是平台方不支持图片直接通过水资源协议上传,需要使用专门的post接口上传,考虑到很多post接口上传图片都是使用BASE64以及https,单片机性能有限,而且图片数据间隔

2023-02-22 09:07:59 1689

原创 SCJ-RTU01遥测终端机定制传感器接入:定制水位计接入

遥测终端机定制集成,定制集成水位计,遥测终端机

2023-02-20 09:26:36 274

原创 HC32F4 硬件IIC接口驱动OLED

HC32F4单片机硬件IIC驱动,OLED驱动,IIC接口OLED驱动,OLED1306驱动

2023-02-17 13:33:49 625

原创 HC32F4裸机UART串口驱动(寄存器操作)

HC32F4裸机UART串口驱动,接口与之前使用STM32接口兼容,主要使用DMA操作,可以选择中断接收还是DMA接收模式,如果有嵌入式实时操作系统,优先使用DMA,简单方便,由于DMA通道不够多,每个串口接收独占,发送循环调用,具体见下一篇博文的DMA代码。

2023-02-13 21:01:21 531

原创 HC32F4正交编码计数 HC32F4外部计数

HC32F4单片机正交编码计数或外部计数模式使用代码

2023-02-10 13:32:56 675

原创 HC32F4A0 以太网调试问题(测试发现各种逻辑问题)

使用HC32F4A0以太网收发数据,测试发现不稳定,经常无法接收或无法发送,最终原因是PCLK1时钟没有超过50MHz

2022-07-23 11:49:41 1645 1

原创 SC7A20 三轴加速度传感器 驱动

使用IIC接口读取SC7A20三轴加速度传感器,并完成Z轴倾斜计算功能;

2022-07-04 18:06:09 8240 8

原创 layui layer诡异bug记录

1.新版本的layui使用table,最后一列工具栏会出现闪烁,重绘,测试发现我19年就没有这个bug,这个bug是后期引入的,看了很多更新记录,说后面会解决这个bug,但是没看到解决的结果,直到layui停止维护都没解决,但是实际上早期是不存在此bug的,解决办法:<script src="~/Scripts/Library/layui/layui.js?ver=2.6.8"></script> <!-- 引入 layui.js --> <scrip

2022-04-23 08:40:11 4537

原创 layui table重载闪烁(fixed: ‘right‘ 导致闪烁)

当使用了固定右侧列的时候就会出现闪烁,原因是layui创建了2次右侧列,将其中一个隐藏了,但是在刷新的时候会瞬间显示,导致闪烁将右侧固定列隐藏了,但是刷新的瞬间会显示出来,导致闪烁;去掉隐藏属性就可以看到下面效果:最后测试发现layui2.3版本不会闪烁,用的新的2.6.8的会闪烁...

2022-04-03 08:36:20 2842

原创 vs2019 error LNK2038: 检测到“RuntimeLibrary”的不匹配项

使用vs2019开发时,遇到error LNK2038: 检测到“RuntimeLibrary”的不匹配项: 值“MTd_StaticDebug”不匹配值“MDd_DynamicDebug”(main.obj 中)是添加lib时动态与静态方式冲突导致,按照网上设置这个设置的没有用,而且默认值修改后会报错我的解决办法如下:删掉:#include <iostream> 头文件引用即可;...

2022-03-20 15:35:34 1564 1

原创 HC32FA AES加密解密 AES128 AES192 AES256驱动

测试硬件为HC32F4A0单片机,使用内部硬件AES模块完成128bit,192bit,256bit AES加密解密功能,AES ECB模式,自动填充0;目前只有128bit加密解密与测试工具相符,192 256bit测试不相同,希望知道原因的小伙伴在下面留言告知一下原因;上代码:/***********************************************************************************************************

2022-02-16 17:44:24 797

原创 HC32F4 CRC32校验(附软件CRC32校验)

首先上硬件CRC32校验,测试平台为HC32F4A0,只使用了CRC32校验,由于CRC16校验种类繁多,意义不大,CRC32校验支持一次校验所有数据,以及分段校验(这个很重要),单片机中由于内存不够大,校验的时候需要分段进行校验,以降低内存消耗;/************************************************************************************************************* * 文件名 : hc32f4a0

2022-02-16 13:10:45 3216

原创 AES128加密/解密(单片机可用)

通过网上代码进行修改,将输入输出缓冲区复用,降低内存消耗,使用需要注意的是,加密数据长度可以不是16字节整数倍,但是缓冲区必须是16字节整数倍,不足部分会自动补充0,解密数据长度必须是16的整数倍;/************************************************************************************************************* * 文件名 : AES128.c * 功能 : AES128加密/解密操作

2022-02-15 10:53:41 6590 1

原创 visual studio installer 2019 无法下载或下载慢问题记录

1.下载链接:下载 Visual Studio Tools - 免费安装 Windows、Mac、Linux翻到页面最下面https://my.visualstudio.com/Downloads?q=visual%20studio%202019&wt.mc_id=o~msft~vscom~older-downloads目前是上面这个地址,可能后面还会变动自己选择要下载的版本进行下载;2.开始下载这个时候你会遇到下载速度为0,根本没法开始,此时实测解决办法是讲...

2021-12-21 14:22:15 1425 2

原创 华大HC32F4A0/F460串口裸机驱动

使用了DMA以及中断,测试比较简单,代码是F460上面用的,今天试了F4A0也可以直接用,需要提前初始化DMA,INT,以及IO复用功能;/************************************************************************************************************* * 文件名: uart.c * 功能: HC32F4A0 UART通讯支持 * 作者: [email protected] * 创建

2021-11-19 21:11:41 2483

原创 M480 EMAC驱动02-IP101G测试

使用回环模式进行收发测试,测试主要检查是否会出现数据乱序,通过1天的测试,没有出现过乱序,但是第一包数据会丢失,原因未知,还有就是发送的数据可能无法触发接收中断,多条数据才会触发一次,未找到原因,但是实际网络通信的时候每条数据都能触发中断,只有回环模式下多次可能才触发一次。/************************************************************************************************************* * 文件

2021-10-14 08:56:03 690

原创 M480 EMAC驱动01-EMAC底层接口

寄存器://EMAC========================================================================================================#define EMAC_BASE (0x4000B000) //寄存器基址typedef struct{ vu32 CAMCTL; //EMAC CAM命令寄存器 vu32 CAMEN; /

2021-10-14 08:51:20 790

原创 M480 EBI SRAM驱动

M480驱动SRAM非常容易,但是官方这个开发板有点坑,将最高3位地址线接了IO口,导致只能访问128KB的内存空间,原理图如下:上代码/************************************************************************************************************* * 文件名 : m48x_ebi.c * 功能 : m48X EBI驱动 * 作者 : [email protected] ..

2021-09-30 14:06:57 461

原创 新唐M480 SPIM执行程序(外部flash执行程序)

执行程序前,需要将SPIM进行初始化,代码如下:/************************************************************************************************************* * 文件名 : m48x_spim.c * 功能 : m48X SPIM驱动 * 作者 : [email protected] * 创建时间 : 2021-09-24 * 最后修改时间 : 2021-09-24 * 详

2021-09-30 10:05:36 942

原创 华大HC32F460 内存使用注意事项(内存bug)

最近调试HC32F460出现各种奇怪问题,程序不断的从不同位置崩溃,比如增加了堆栈大小(注意,是增加,而且是增加的足够大),修改了一些无关代码,增加了一个啥都不做的线程,等等都会导致程序各种异常,而且调试也找不出原因,表现为与内存溢出一样,内存无然无故变了,但是可以肯定是内存没有溢出,还有的内存竟然无法修改,修改后自己复原了,心里一万个曹尼玛,还有这样的单片机。但是通过两天的调试,最后怀疑可能是内存问题,之前发现HC32无法使用1,2,1这种方式对齐访问,而其他单片机则不影响,带着怀疑测试,将SRAMH

2021-09-27 21:42:26 4401 1

原创 VC++ winform遍历所有控件

遍历所有控件,需要使用递归调用,将所有的控件都找出来,我这里使用的是递归调用,寻找指定的控件,设置相关属性,例子如下://循环遍历设置控件为只读void GetControlSetReadOnly(Control^ mControl, bool isReadOnly){ System::Type^ mType; if (mControl != nullptr && mControl->Controls != nullptr) { for each (Contr

2021-09-01 16:33:26 540

原创 W25QxxxJV系列flash 4线模式驱动 使用HC32F460 QSPI驱动

QSPI可以使用4线模式对SPI flash进行读取,但是写入只能使用1线模式,目前测试使用的是W25Q128JV,注意不是所有的都支持4线模式,4线模式下通常只支持读取,目前测试主要是写入操作,读取操作直接进入ROM模式,使用内存映射即可,非常方便,具体测试例子见上一篇博文;/************************************************************************************************************* *

2021-08-27 10:36:43 3294

原创 HC32F460 QSPI底层驱动(W25Q128)

//QSPI========================================================================================================#define QSPI_BASE (0x9c000000UL) //寄存器基址typedef struct{ vu32 CR; //控制寄存器 vu32 CSCR; //片选控制寄存器 vu32 FCR; //格式控制..

2021-08-27 10:31:48 1593

原创 HC32F46x底层操作(时钟,IO等初始化)

//相关APIbool SYS_SystemClockInit(SYS_CRYSTAL_SOURCE CrystalSelect, bool PLLEnable, u16 MainClockFreq);//HC32F46X系统主时钟初始化void SYS_DeviceClockEnable(SYS_DEV_CLOCK DevCloce,bool Enable); //外设时钟使能或关闭控制void SYS_GPIOx_SetAF(GPIO_TypeDef *GPIOx, u8 io_num, u8.

2021-08-23 17:34:20 1117

原创 HC32F46x 寄存器定义(裸机)

自己定义的底层寄存器地址/************************************************************************************************************* * 文件名 :hc32f46x_map.h * 功能 :HC32F46X寄存器映射 * 作者 :[email protected] * 创建时间 :2021-06-10 * 最后修改时间:2021-06-10

2021-08-23 17:30:29 690

原创 HC32F460 内部flash驱动

内部flash写入的时候,需要将代码分配到内存中执行,烧写flash时,程序无法从flash执行的,这一点确实比STM32差一些;/************************************************************************************************************* * 文件名 : hc32f46x_flash.c * 功能 : HC32F46X 内部FLASH驱动 * 作者 : cp1300@139.

2021-08-20 09:54:31 2408 5

原创 windows虚拟ILI9341 TFT LCD

使用的是windows虚拟LCD屏幕,底层使用d3d9实现,以及dxgui,通过实现与ILI9341相关接口,达到兼容单片机上面操作LCD底层,便于调试UI;/************************************************************************************************************* * 文件名 : TFT_ILI9341.c * 功能 : 虚拟ILI9341控制器的TFT 驱动 * 作者

2021-08-20 09:44:43 626

原创 win32 运行ucosii移植lwip2.1.2问题记录

由于windows上面移植的ucosii的线程跟单片机线程完全不一样,ucosii上面的线程使用的是windows线程,并且任务之间调度靠的是信号量,将不需要运行的线程挂起,需要运行的线程唤醒,而且设计到ucos ii之外的线程很多,比如我用来做串口数据查询的线程,虚拟网口接收数据的线程,这个时候就会产生一个问题,那就是关闭中断问题,关闭中断进行临界保护,这个时候就很难了,由于本身都是独立线程,我尝试了很多办法,最后都没有成功,起初我是在虚拟网卡回调中直接将接收的数据写入lwip。//网口数据接收回调

2021-08-20 09:05:38 525

原创 lwip2.1.2 存在的内存泄漏调试

第一次使用lwip,调试的时候故意将信号量以及内存给小,便于发现可能存在的泄露,通过测试发现了两种泄露情况,一个是接收数据包(应用层不处理接收,相当于只发送)存在溢出风险,一个是新建连接的时候,消息邮箱存在溢出,这2个溢出查了很久,中间的过程我就不一一道明了,只讲结果,就是sys_mbox_valid()接口的问题,这个接口是用于检查一个消息邮箱是否有效,通过这次调试也算是把lwip机制弄清楚了,一切都是围绕着这个消息邮箱的,在数据接收的时候,会申请内存,将内存指针写入到消息邮箱队列,lwip核心线程会读取

2021-08-16 10:34:18 6371 10

原创 win32 timeSetEvent 使用记录(win32移植ucos ii)

在win32上面使用网上提供的ucos移植代码,使用过程中经常会出现要么无法启动,要么会在0-5小时内假死(ucos ii的线程都在等待,任务调度停止),而且中间不会报错,先来看看这个win32上面移植ucos的原理;win32上面移植ucos ii是靠的1个timeSetEvent多媒体定时器(貌似是精度最高的了),加上一个任务调度线程,首先初始化一个timeSetEvent定时器,一个OSTickEventHandle事件,一个OSTickW32 win32线程,这3个组成了单片机里面的定时器中断(

2021-08-16 08:43:34 626 1

原创 高德地图marker屏蔽Label

前几年用的方法如下://显示label g_marker[SetIndex].setLabel({//label默认蓝框白底左上角显示,样式className为:amap-marker-label offset: new AMap.Pixel(20, -25),//修改label相对于maker的位置 content: "标签名称", direction: 'right' //设置文本标注方位 });//隐

2020-11-25 13:40:03 2935 2

原创 NUC970裸机IIC驱动

NUC970裸机IIC的驱动,使用很简单,没有DMA,效率不怎么样,跟软件IIC一样,因为需要阻塞延时等待,调试过程中遇到的问题就是一旦IIC出现异常,没有复位机制,可能会导致一次通讯异常,之后错误状态会自动清除。//IIC.c/************************************************************************************************************* * 文件名: IIC.c * 功能: N

2020-11-04 11:49:59 713

原创 QMC5883L磁场传感器驱动

Honeywell的HMC5883L三轴磁性传感器驱动,操作比较简单,就是要注意设置好模式寄存器后,还要将0x0B设置为1,否则测量的值不对,并且温度一直是0//QMC5883L.c/************************************************************************************************************* * 文件名: QMC5883L.c * 功能: QMC5883L驱动 * 作者: cp

2020-11-03 10:31:02 3791 2

原创 NUC970 通用GPIO输入问题

测试发现IO输出没问题,输入必须开启CMOS缓冲或者施密特触发,这个在手册上面么有提到,目前使用都是开启了CMOS缓冲区才能做为输入IO,否则输入读取的数据无法反应IO状态。************************************************************************************************************************** 函数 : void SYS_GPIOx_OneInit(GPIO_TypeDef

2020-10-28 13:22:48 855

原创 MPU6050驱动

使用的模拟IIC接口驱动的MPU6050,最后使用官方的mpu_dmp计算的最终数据存在偏移,估计是没有电子罗盘进行校准,时间长了方向偏移特别严重。//MPU6050/************************************************************************************************************* * 文件名: MPU6050.c * 功能: MPU6050驱动 * 作者: [email protected]

2020-10-28 13:17:54 1147

A7139发送数据工程 STM32 A7139模块

A7139发送超大数据包,使用STM32控制A7139进行数据收发通讯的完整工程,通过A7139通讯,modbus-rtu协议通讯,控制继电器的开关,之前用于家里的智能家居控制,已经用了5-6年了,还算稳定。

2023-02-26

nuc970_UCOS_III_MDK.rar

NUC970 ARM9移植了ucosiii,已经开发了底层的UART串口驱动,定时器驱动,SPI驱动,并且用SPI连接W25Q128,中断控制器驱动,用户堆初始化

2020-09-02

v3s裸机工程.rar

全志V3S裸机工程,实现了裸机下调试,并且使用jlinkv9初始化DDR,代码直接在DDR中执行,完成了usos iii移植,串口驱动,GIC中断控制器驱动,开发工具为IAR.

2020-08-31

nuc970裸机_MDK.rar

nuc970裸机工程,实现了AIC中断控制器,裸机初始化,串口驱动,定时器驱动;工程使用MDK开发,注意MDK开发ARM9需要安装ARM9开发包

2020-08-31

WinUSB4NuVCOM_NUC970+NuWriter.rar

NUC970 USB启动所需的USB驱动,已经下载工具NuWriter,可以用于裸机启动NUC970调试,将USB接电脑后需要先安装WinUSB4NuVCOM_NUC970驱动,然后使用NuWriter初始化硬件,之后就可以使用jlink或者ulink调试。

2020-08-31

DLT645-2007国网多功能电能表通信协议.doc

DLT645-2007版本,智能电表通讯规约,替代DLT645-1997版本,一般使用RS485或红外接口读取电表采集的电量信息

2020-07-03

虚拟STM32F746 RGB_480x272彩屏.rar

使用WIN32程序实现STM32的LCD虚拟,可以很方便在电脑上面实现STM32 LCD UI代码开发,使用的DXD9,实现的STM32F7 LTDC LCD接口,完美兼容STM32的LTDC操作,默认使用的是ARGB8888颜色模式.

2020-03-09

WM8994 WISCESetup.rar

WISCESetup 可以用于WM89xx系列的音频芯片配置,压缩包内包含WISCESetup软件与WM8994设备支持文件,可以用于WM8994的可视化配置,自动生成需要配置的寄存器数据,便于调试,由于手册上寄存器太多,太复杂,使用本软件可以很方便配置寄存器。

2020-02-19

WM8994_Rev4.6.pdf

WM8994_Rev4.6 datasheet 最新版本,英文版 The WM8994 is a highly integrated ultra-low power hi-fi CODEC designed for smartphones and other portable devices rich in multimedia features. An integrated stereo class D/AB speaker driver and class W headphone driver minimize power consumption during audio playback

2020-02-13

homebridge-aqara测试.rar

基于homebridge-aqara修改,自己编写插件,对代码进行了解耦,增加了注释,很方便编写新的设备支持,并进行集成,我增加了彩灯的控制。

2019-11-21

好看的树样式tree

js可折叠树样式,用于显示分组列表,使用js简单的封装,方便使用

2018-08-18

LINUX 串口与SPI操作

linux下操作串口使用modbus-rtu协议读取数据,使用SPI接口操作LCD12864液晶。

2018-08-18

ModBus-RTU协议C封装

ModBus-RTU协议C封装 使用C封装的,可以移植到任何平台。

2018-06-23

串口读写上位机例程

使用VC++ CLR编写的上位机实例,主要使用串口通信,modbus-RTU协议读写从机,并使用异步方式,可以实现连续的数据读取同时,对设备进行配置。 使用vs2013开发,VC++ CLR工程,实现串口列表获取,串口打开,modbus读写,异步刷新UI。

2018-05-06

unicode与gbk双向转换编码表

unicode与gbk双向转换编码表,可以存放到flahs或外部flash中,以及对应的.h文件,可以编译到代码中

2018-04-19

VC++串口modbus测试工程

VC++串口modbus测试工程

2016-11-23

打包工具SmartInstallMaker(全中文)

打包工具SmartInstallMaker(全中文)

2016-08-29

ADS1247寄存器中文

ADS1247寄存器中文

2016-03-28

STM32F4系列中文手册

STM32F4系列中文手册.pdf

2015-12-09

A7139 寄存器配置软件

A7139 寄存器配置软件 自动生成配置代码 点击Build Reference code 生成代码,在运行目录

2015-08-09

文件夹大小查看

文件夹大小查看,查找那个文件夹占用大小非常方便

2014-10-07

MP3410 丝印IP3x

MP3410 丝印IP3x ,DC-DC升压

2014-07-25

DS-5_Workshop DS-5工程建立

DS-5_Workshop-v5.13-d1622-6-12.03-SB-DSTREAM DS-5_Workshop 使用文档 教你如何使用DS-5建立工程 DS -5 Workshop 5 Workshop 5 Workshop : Linux : Linux : Linux KerneKerne

2014-06-21

ds-5_vybrid_freescale_ws

ds-5_vybrid_freescale_ws DS-5使用文档,仿真 DS-5 Workshop: Linux Kernel and Application Debug, Trace and Profile on Vybrid

2014-06-21

DS-5使用手册

DS-5使用手册 ARM® Compiler Version 5.04 armasm User Guide

2014-06-17

PDF解密软件

PDF解密软件,亲测可以使用,无毒,放心使用

2014-06-17

CC1101+STM8无线收发模块

433M CC1101 串口模块 STM8原理图

2014-06-14

iphone5 中文维修图纸 电路图

iphone5 中文维修图纸 电路图 很详细

2014-05-29

S3C6410 SD卡启动裸机代码,可以从串口下载程序

S3C6410 SD卡启动裸机代码,可以从串口下载程序 也可以从SD卡指定位置加载程序执行 无需UBOOT支持,纯粹的底层裸机代码,希望可以给你学习底层带来方便,我也是折腾几天才弄出来的.

2013-12-10

CC2530中文手册完全版(250页)

CC2530,中文手册,完全版,250页

2013-06-07

UNICODE GBK双向码表二进制文件

UNICODE GBK 编码转换表 用与裸机已经嵌入式开发中UNICODE支持

2013-06-05

unicode全部编码表

unicode全部编码表 unicode全表 所有的unicode编码

2013-04-07

联想OED WIN7 64BIT

联想OED WIN7 64BIT 旗舰版 下载地址,用迅雷直接下载即可,64bit旗舰版

2013-04-07

SIM900A AT指令文档集合

SIM900A AT指令文档集合 AT指令详细 硬件设计手册 很多很全

2013-04-03

Logic Setup 1.1.15 (64-bit)

Saleae LLC Logic 64BIT 支持win764bit

2013-03-30

C++Primer第三版

C++Primer第三版 C++入门教程 高清晰版 非扫描的

2013-01-06

reshack3.6中文版

修改win7系统资源imageres.dll,修改开机画面,声音,主题,图标等等,最主要的是可以在win7 64bit下面使用,其它版本无法修改64bit win7的图标

2012-12-29

ucOS2.85官方源码

ucOS2.85官方源码

2012-12-27

JPEG标准文档

JPEG标准相关文档,描述JPEG标准的文档

2012-12-20

ARM Workbench IDE v4.0中文版用户指南

ARM Workbench IDE v4.0中文版用户指南,用户指南。

2012-12-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除