UVM启动的几个步骤

//下面这个步骤不是唯一的。但应该还算比较规范。没有考虑virtual sequence

(1)在顶层top_tb中run_test()。这个之前不能有任何延时,否则会有UVM_FATAL

(2)有两种方式启动sequence
(2.1)让平台自动启动:在自定义的test_case(不是test_base)的build_phase中,调用config_db,设置default_sequence
uvm_config_db #(uvm_object_wrapper)::set(this,"env.agent.sqr.main_phase","default_sequence",xxx_sequence::type_id::get());
这句话是告诉env.agent.sequencer,当平台运行到main_phase时,自动启动sequence。
(2.2)如果手动启动sequence,则需要在sequencer的main_phase中指定。
hello_sequence seq;
seq = new("seq");
seq.starting_phase(phase);//suquence是object是没有phase的,所以需要通过starting_phase把phase指针传递给sequence
seq.start(this); //seq.start()中包含seq.body(),执行sequence中的task body

(3)在sequence中,判断starting_phase!=null,通过raise_objection,drop_objection控制验证平台的开关
 

  • 2
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值