自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(192)
  • 资源 (1)
  • 收藏
  • 关注

原创 解决Error (169281)、Error (169282)报错问题,QuartusII设置Virtual Pin虚拟管脚的详细操作方法

解决配置Virtua Pin管脚的问题。

2024-04-17 15:33:43 50

转载 转载!—quartus联合modelsim仿真,修改Quartus工程部分代码后,不关闭modelsim ,重新仿真

不关闭modelsim,但修改了QuartusII中的代码,重新编译和仿真。

2024-04-15 18:21:13 8

转载 转载-MATLAB关闭科学计数法显示

解决matlab不显示科学计数法

2024-04-14 14:33:46 18

原创 QuartusII联合Modelsim仿真中最好不要将tb文件设置为顶层,以避免compile错误

不将tb.v文件置于QuartusII的顶层。

2024-04-03 16:51:38 326

原创 解决Quartus与modelsim联合仿真问题:# Error loading design解决,是tb文件中没加:`timescale 1ns/1ns

解决:使用quartusII和modelsim联合仿真调用fifo完成。

2024-04-01 18:44:14 680

原创 Quartus新建一个工程流程+QuartusII18.0l与Modelsim10.5版本的联合仿真案例成功:3-8通路三态门输出

Quartus新建一个工程流程+QuartusII18.0l与Modelsim10.5版本的联合仿真案例成功:3-8通路三态门输出

2024-04-01 11:30:21 406

原创 Verilog语法+vivado解决“/”除法就是保留整数,去除小数的功能验证

解决语法中的除法就是取整,去除小数。

2024-03-28 11:50:38 220

转载 转载!——【FPGA】精品FPGA书籍推荐

深入开发要有一条笔直的路线

2024-03-27 15:16:13 21

转载 转载!数字IC设计知识点

解决数字IC设计的基础知识点

2024-03-05 21:44:30 47

原创 [XSIM 43-3238] Failed to link the design.以简化的RiscCpu设计为例来解决这个问题!

解决:[XSIM 43-3238] Failed to link the design.这个在vivado中得到解决!

2024-02-27 15:09:50 368

原创 16-Verilog实现二线制I2C CMOS串行EEPROM的读写操作

使用Verilog实现二进制I2C CMOS串行EEPROM的读写操作

2024-02-05 10:29:32 1251

原创 task2:Verilog编写的设计模块在模块内部直接调用task

解决调用task。

2024-02-04 10:05:26 551

原创 15.1_使用Verilog设计:一个简单的状态机设计——序列检测器(可实现重复性检测)

解决:实现一个可重复性的序列检测器,用状态机实现。

2024-01-22 12:56:17 638

原创 13-设计可综合状态机的指导原则,本文对于Verilog设计方法学至关重要!

解决:设计可综合状态机的指导原则!解决:设计各种基础的组合逻辑电路和时序逻辑电路!解决:如何设计有实用价值的高性能的数字逻辑电路系统!

2024-01-17 17:59:39 885

原创 12-同步状态机的结构以及Mealy和Moore状态机的区别,Verilog实现有限状态机的4种方式,以及总结有限状态机设计的一般步骤

解决:同步状态机的结构以及Mealy和Moore状态机的区别,Verilog实现有限状态机的4种方式,以及总结有限状态机设计的一般步骤

2024-01-16 12:26:25 412

原创 14.7-时序反馈移位寄存器建模

解决LFSR问题

2024-01-04 12:54:22 663

原创 14.11-对同一变量进行多次赋值

不能再多个always块中对同一个变量多次赋值,避免竞争冒险。

2024-01-04 10:27:02 459

原创 14.10-其他阻塞和非阻塞混合使用的原则

不要在同一个always块中同时使用阻塞和非阻塞赋值。

2024-01-04 10:14:24 367

原创 14.9-时序和组合的混合逻辑——使用非阻塞赋值

解决使用非阻塞赋值的环境问题

2024-01-04 09:57:10 551

原创 14.8-组合逻辑建模时应使用阻塞赋值

解决组合逻辑建模使用阻塞语句

2024-01-04 09:42:08 443

原创 14.12-常见的对于非阻塞复制的误解

解决对常见的对于非阻塞赋值语句的误解

2023-12-29 11:18:53 395

原创 Verilog语法中宏定义的使用示例

解决Verilog中宏定义的语法问题。

2023-12-13 17:12:27 668

原创 Verilog简明语法:Verilog语法总结

Verilog语法总结

2023-12-11 16:58:43 594

转载 转载好用成功!Mathtype成功插入到word2019中

安装mathtype进word!

2023-12-10 22:28:51 769

转载 转载-Verdi使用技巧

Verdi的操作技巧

2023-12-06 15:28:00 361

原创 练习十二:利用SRAM设计一个FIFO

解决利用SRAM设计一个FIFO。

2023-12-04 20:07:44 1004

原创 练习十一:简单卷积器的设计

解决简单卷积器的设计

2023-12-04 14:03:53 1007

原创 [Verilog语法]:===和!==运算符使用注意事项

解决Verilog中的一些特殊语法

2023-12-01 14:59:20 424

原创 解决电脑蓝屏问题:SYSTEM_THREAD_EXCEPTION_NOT_HANDLED,回到系统还原点

解决电脑蓝屏问题:SYSTEM_THREAD_EXCEPTION_NOT_HANDLED

2023-11-30 19:58:58 2078

原创 练习十-通过模块实例调用实现大型系统的设计

通过模块实例调用实现大型系统的设计

2023-11-23 17:25:50 69

原创 练习九-利用状态机实现比较复杂的接口设计

利用主从状态机实现比较复杂的接口设计

2023-11-22 16:45:00 569

原创 练习八-利用有限状态机进行时序逻辑的设计

重复性状态机

2023-11-21 14:53:10 475

原创 练习七-在Verilog中使用任务task

完成任务的功能

2023-11-21 10:15:47 335

转载 Verilog中的门极建模、延迟建模

门级建模和延迟建模

2023-11-20 22:28:20 49

原创 练习六-使用Questasim来用verilog使用function函数

解决verilog使用function函数的问题

2023-11-20 20:09:54 309

转载 verilog流水线实现的优势

通过将大量的逻辑功能划分成多个小的功能模块,并使用寄存器进行数据传输,可以实现在一个时钟周期内完成多个操作。但是要注意的是,流水线设计需要仔细考虑寄存器的位置、时钟管理等细节问题,以确保设计的正确性和可靠性。3,在每个时钟周期内,将输入数据传输到下一个功能模块,并将上一个功能模块的输出数据存储到寄存器中;4,易于并行处理:由于每个功能模块都是独立的,因此可以很容易地实现并行处理,进一步提高系统的性能。3,模块化设计:每个功能模块都是独立的,可以单独进行设计和验证,提高了设计的可维护性和可重用性;

2023-11-15 22:40:54 71

原创 2—C++程序设计:C++简单程序设计

解决C++C简单程序设计

2023-11-08 23:17:08 82

原创 C++-实现一个简单的菜单程序

C++基础

2023-10-31 23:41:39 830

原创 parameter的各种用法以及localparam的用法

parameter、localparam的用法

2023-10-17 14:57:16 272

转载 转载-在Verilog里边 always@(*)语句是什么意思?

always语法

2023-10-08 15:30:34 648

16-I2CCMOSEEPROM的addr.dat和data.dat

用于EEPROM总线的两个地址和数据,分别是addr.dat和data.dat。读完后将EEPROM读出的数据存入文件eeprom.dat中。对比这3个文件的数据就可以验证程序的正确性。

2024-02-05

gvim操作教程1-窗口的切分、切换、控制等操作

gvim的操作教程-1

2023-08-14

Modelsim与Questasim两个版本的区别,主要是在功能上进行说明

如果还需要更详细的,可以自己去找资源,建议去官网了解详情!

2023-08-02

PWM呼吸灯,调节占空比控制灯亮暗

PWM呼吸灯,调节占空比控制灯亮暗

2022-12-03

基于FPGA开发板上的消抖实验验证

基于FPGA开发板上的消抖实验验证成功

2022-11-26

按键KEY和LED亮,按下键和灯亮

按键下KEY键和LED亮。

2022-11-26

创建一个ROM初始化文件

创建一个ROM初始化文件

2022-11-25

Guitar.MAT,音乐矩阵,MATLAB中resample函数的根本理解,附加资源

附加资源

2022-03-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除