异步双口RAM的综合【异步Dual Port RAM(DPRAM)】

异步双口RAM的综合

    我写了一个异步的FIFO,里面包括一个异步双口RAM,用ModelSim仿真完全正确,但用Synplify综合时(选用Cyclone器件),却把RAM综合成了一大堆的LUT,无论怎么改都没法综合成Cyclone器件内的Block RAM。即使在Synplify里加了约束条件,仍然无济于事。
    现在发现问题主要是出在异步时钟上,读和写用同一个时钟能综合成Block RAM,但分别用两个异步的时钟就不行了。
    有高手能帮我想想办法吗?谢谢!
    另外申明一点:我虽然知道用Quartus做的话,点点鼠标就能拉一个FIFO出来了,但我还是想直接用代码写,而且要能够综合成器件内的Block RAM。

附:我现在试了以下代码,不行.

module FIFO1024_MEM (rdata, wdata, waddr, raddr, wclken, rclken, wclk, rclk);
   parameter DATASIZE = 4;        // Memory data word width
   parameter ADDRSIZE = 8;        // Number of memory address bits
   parameter DEPTH = 1<<ADDRSIZE; // DEPTH = 2^ADDRSIZE
   output[DATASIZE-1:0] rdata;
   input [DATASIZE-1:0] wdata;
   input [ADDRSIZE-1:0] waddr, raddr;
   input                wclken, rclken, wclk, rclk;
   reg [DATASIZE-1:0] MEM [0:DEPTH-1];
   reg [DATASIZE-1:0] rdata;
   always @ (posedge wclk)
         begin
              if (wclken)
                begin
                     MEM[waddr] <= wdata;
                end
         end


/*   

always @ (posedge rclk)
         begin
              if (rclken)
                begin
                     rdata <= MEM[raddr];
                end
         end

*/

上述块替换为下面的,就能综合成功了!

【数据读出不受rclken使能控制,直接输出raddr所指定地址的数据!

   always @ (posedge rclk)
         begin
             rdata <= MEM[raddr];
         end

endmodule



回复:
【答案】:只要把if (rclken)去掉就可以了。

你把这些代码直接可以用quartus II综合呀,
像这么大块的的话即使不加约束,它也会自动综合在EAB(嵌入式阵列块)里的。

要把ram推入block ram的时候,语句是越简洁越好。
根据我的经历,两个always语句里(wclken),(rclken)只能出现一个。最主要的是要把那些条件、逻辑等主要写在例化后的bolck ram之外来控制。



  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
基于FPGA的双口RAM设计方法有多种。一种常见的方法是使用CS、OE、WE信号组合实现数据的读写信号。这种方法可以通过控制这些信号的时序来避免两端对同一地址同时读写。另一种方法是在FPGA中设置写busy信号,实现两端写同步。这样,当一端正在写入数据时,另一端将被阻塞,直到写操作完成。还有一种方法是使用乒乓操作的处理流程,通过输入数据选择单元将数据流等时分配到两个数据缓冲区,常用的存储单元包括双口RAM(DPRAM)、单口RAM(SPRAM)和FIFO等。这些方法都可以实现基于FPGA的双口RAM设计。\[1\]\[2\]\[3\] #### 引用[.reference_title] - *1* *2* [基于FPGA的双口RAM设计方法](https://blog.csdn.net/DanielLee_ustb/article/details/46446359)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [【乒乓操作】基于FPGA的双 RAM结构乒乓操作Verilog实现](https://blog.csdn.net/ccsss22/article/details/125012710)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值