自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

  • 博客(76)
  • 收藏
  • 关注

转载 异步复位,同步释放

关于异步复位同步释放的原理不再赘述,直接贴 verilog 代码如下: 1 module reset_sync (clk, rst_n, rst_n_sync); 2 input clk; 3 input rst_n; 4 output rst_n_sync; 5 //reg rst_n_sync; 6...

2018-12-27 23:34:00 193

转载 Windows 实用小工具

超实用的Windows工具=============================================================================================zd423 - 软件分享平台领跑者 小众软件 精品绿色便携软件 QiuQuan's Blog 果核剥壳 殁漂遥 吾爱破解无忧启动...

2018-04-26 19:24:00 251

转载 关于Verilog 中的for语句的探讨

关于Verilog 中的for语句的探讨转载于:https://www.cnblogs.com/yllinux/p/8835084.html

2018-04-14 20:33:00 354

转载 三种不同状态机写法

一段式状态机: 1 reg[3:0] cs, ns; 2 always @(posedge clk or negedge rst_n) begin 3 if (!rst_n) begin 4 cs <= IDLE; 5 cmd <= 3'b111; 6 end 7 else b...

2018-03-24 21:43:00 909

转载 异步复位和同步复位

异步复位实例: 1 module async_rst ( 2 input din, 3 input clk, 4 input rst_n, 5 6 output reg dout 7 ); 8 9 always @ (posedge clk or nege...

2018-03-24 18:57:00 154

转载 转载

Verilog基础知识1(FPGA 设计的四种常用思想与技巧之一 -- 乒乓操作)IC设计基础系列之CDC篇12:异步FIFO设计资源推荐转载于:https://www.cnblogs.com/yllinux/p/8560651.html...

2018-03-13 19:17:00 85

转载 FIFO认识(一)

1.什么是FIFO?   FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。2.什么情况下用FIFO?   ...

2018-03-11 21:45:00 120

转载 Quartus II管脚批量分配文件(.tcl)格式

1 package require ::quartus::project2 3 set_location_assignment PIN_E1 -to clk4 set_location_assignment PIN_A11 -to data[0]5 set_location_assignment PIN_A12 -to data[1]范例:...

2018-01-12 21:20:00 1165

转载 mif文件C语言生成

1:正弦波用函数sin (x * π/180°) 1 /************************************************** 2 3 正弦波 mif 生成 4 5 ***************************************************/ 6 #include <s...

2017-12-30 22:24:00 872

转载 基于FPGA的HDMI显示设计(三)

上一篇:基于FPGA的VGA显示设计(二) 10月10日 ~ 20日期间实习,令我万万没想到的是实习题目是 “便携式高清电视显示屏测试系统原型设计” 也就是 “基于FPGA的视频显示”。 实习要求用 HDMI 接口显示,其实和VGA显示差不多的就多了两个引脚而已(de 和 hdmi_clk_o)。如下图: 虽然感觉做这个没什么意思了,但多多...

2017-10-23 22:50:00 1237

转载 FPGA----只读存储器(ROM)

ROM是一种重要的时序逻辑存储电路,它的逻辑功能是在地址信号的选择下,从指定存储单元中读取相应的数据。R0M只能进行数据的读取,而不能修改或写人新的数据,本节将以16×8的ROM为例,介绍ROM的设计方法。Verilog HDL: 1 module ROM_ex1 (addr, data, en); 2 3 input [3:0]...

2017-10-08 23:35:00 1213

转载 笨办法学Python(四十一)

习题 41: 来自 Percal 25 号行星的哥顿人(Gothons) 你在上一节中发现 dict 的秘密功能了吗?你可以解释给自己吗?让我来给你解释一下,顺便和你自己的理解对比看有什么不同。这里是我们要讨论的代码:cities['_find'] = find_citycity_found = cities['_find'](cities, state)...

2017-10-03 23:17:00 349

转载 笨办法学Python(四十)

习题 40: 字典, 可爱的字典 接下来我要教你另外一种让你伤脑筋的容器型数据结构,因为一旦你学会这种容器,你将拥有超酷的能力。这是最有用的容器:字典(dictionary)。 Python 将这种数据类型叫做 “dict”,有的语言里它的名称是 “hash”。这两种名字我都会用到,不过这并不重要,重要的是它们和列表的区别。你看,针对列表你可以做这样的事情:1...

2017-10-01 21:43:00 136

转载 笨办法学Python(三十九)

习题 39: 列表的操作 你已经学过了列表。在你学习“while 循环”的时候,你对列表进行过“追加(append)”操作,而且将列表的内容打印了出来。另外你应该还在加分习题里研究过 Python 文档,看了列表支持的其他操作。这已经是一段时间以前了,所以如果你不记得了的话,就回到本书的前面再复习一遍把。 找到了吗?还记得吗?很好。那时候你对一个列表执行了appen...

2017-09-30 22:16:00 123

转载 笨办法学Python(三十八)

习题 38: 阅读代码 现在去找一些 Python 代码阅读一下。你需要自己找代码,然后从中学习一些东西。你学到的东西已经足够让你看懂一些代码了,但你可能还无法理解这些代码的功能。这节课我要教给你的是:如何运用你学到的东西理解别人的代码。 首先把你想要理解的代码打印到纸上。没错,你需要打印出来,因为和屏幕输出相比,你的眼睛和大脑更习惯于接受纸质打印的内容。一次最多打印...

2017-09-30 22:13:00 107

转载 PWM----调节LED亮度

----调节两个LED灯亮度 1 module led_pwm ( 2 clk, 3 rst, 4 //cnt1_pwm, 5 out1, 6 out2, 7 out3, 8 out4 9 );10 11 input clk, rst;12 //input [...

2017-09-29 22:56:00 635

转载 笨办法学Python(三十七)

习题 37: 复习各种符号 现在该复习你学过的符号和 python 关键字了,而且你在本节还会学到一些新的东西。我在这里所作的是将所有的 Python 符号和关键字列出来,这些都是值得掌握的重点。 在这节课中,你需要复习每一个关键字,从记忆中想起它的作用并且写下来,接着上网搜索它真正的功能。有些内容可能是无法搜索的,所以这对你可能有些难度,不过你还是需要坚持尝试。...

2017-09-23 22:52:00 58

转载 笨办法学Python(三十六)

习题 36: 设计和调试 现在你已经学会了“if 语句”,我将给你一些使用“for 循环”和“while 循环”的规则,一面你日后碰到麻烦。我还会教你一些调试的小技巧,以便你能发现自己程序的问题。最后,你将需要设计一个和上节类似的小游戏,不过内容略有更改。If 语句的规则每一个“if 语句”必须包含一个else.如果这个else永远都不应该被执行到,因...

2017-09-23 22:46:00 102

转载 笨办法学Python(三十五)

习题 35: 分支和函数 你已经学会了if语句、函数、还有列表。现在你要练习扭转一下思维了。把下面的代码写下来,看你是否能弄懂它实现的是什么功能。 1 from sys import exit 2 3 def gold_room(): 4 print "This room is full of gold. How much do you ...

2017-09-11 18:50:00 147

转载 笨办法学Python(三十四)

习题 34: 访问列表的元素 列表的用处很大,但只有你能访问里边的内容时它才能发挥出作用来。你已经学会了按顺序读出列表的内容,但如果你要得到第 5 个元素该怎么办呢?你需要知道如何访问列表中的元素。访问第一个元素的方法是这样的:animals = ['bear', 'tiger', 'penguin', 'zebra']bear = animals[0] ...

2017-09-11 16:35:00 107

转载 笨办法学Python(三十三)

习题 33: While 循环 接下来是一个更在你意料之外的概念:while-loop``(while循环)。``while-loop会一直执行它下面的代码片段,直到它对应的布尔表达式为False时才会停下来。 等等,你还能跟得上这些术语吧?如果你的某一行是以:(冒号, colon)结尾,那就意味着接下来的内容是一个新的代码片段,新的代码片段是需要被缩进...

2017-09-11 15:07:00 114

转载 笨办法学Python(三十二)

习题 32: 循环和列表 现在你应该有能力写更有趣的程序出来了。如果你能一直跟得上,你应该已经看出将“if 语句”和“布尔表达式”结合起来可以让程序作出一些智能化的事情。 然而,我们的程序还需要能很快地完成重复的事情。这节习题中我们将使用for-loop(for 循环)来创建和打印出各种各样的列表。在做的过程中,你会逐渐明白它们是怎么回事。现在我不会告诉你,你需要...

2017-09-07 23:18:00 69

转载 笨办法学Python(三十一)

习题 31: 作出决定 这本书的上半部分你打印了一些东西,而且调用了函数,不过一切都是直线式进行的。你的脚本从最上面一行开始,一路运行到结束,但其中并没有决定程序流向的分支点。现在你已经学了if,else, 和elif,你就可以开始创建包含条件判断的脚本了。 上一个脚本中你写了一系列的简单提问测试。这节的脚本中,你将需要向用户提问,依据用户的答案来做出决定。把...

2017-09-06 22:50:00 50

转载 笨办法学Python(三十)

习题 30: Else 和 If 前一习题中你写了一些 “if 语句(if-statements)”,并且试图猜出它们是什么,以及实现的是什么功能。在你继续学习之前,我给你解释一下上一节的加分习题的答案。上一节的加分习题你做过了吧,有没有?你认为if对于它下一行的代码做了什么? If 语句为代码创建了一个所谓的“分支”,就跟 RPG 游戏中的情节分支一样。if 语句...

2017-09-05 22:52:00 67

转载 笨办法学Python(二十九)

习题 29: 如果(if) 下面是你要写的作业,这段向你介绍了“if语句”。把这段输入进去,让它能正确执行。然后我们看看你是否有所收获。 1 people = 20 2 cats = 30 3 dogs = 15 4 5 6 if people < cats: 7 print "Too many cats! The worl...

2017-09-04 22:59:00 54

转载 笨办法学Python(二十八)

习题 28: 布尔表达式练习 上一节你学到的逻辑组合的正式名称是“布尔逻辑表达式(boolean logic expression)”。在编程中,布尔逻辑可以说是无处不在。它们是计算机运算的基础和重要组成部分,掌握它们就跟学音乐掌握音阶一样重要。 在这节练习中,你将在python里使用到上节学到的逻辑表达式。先为下面的每一个逻辑问题写出你认为的答案,每一题的答案要...

2017-09-04 22:12:00 112

转载 笨办法学Python(二十七)

习题 27: 记住逻辑关系 到此为止你已经学会了读写文件,命令行处理,以及很多 Python 数学运算功能。今天,你将要开始学习逻辑了。你要学习的不是研究院里的高深逻辑理论,只是程序员每天都用到的让程序跑起来的基础逻辑知识。 学习逻辑之前你需要先记住一些东西。这个练习我要求你一个星期完成,不要擅自修改日程,就算你烦得不得了,也要坚持下去。这个练习会让你背下来一系列的逻...

2017-08-31 00:07:00 72

转载 笨办法学Python(二十六)

习题 26: 恭喜你,现在可以考试了! 你已经差不多完成这本书的前半部分了,不过后半部分才是更有趣的。你将学到逻辑,并通过条件判断实现有用的功能。 在你继续学习之前,你有一道试题要做。这道试题很难,因为它需要你修正别人写的代码。当你成为程序员以后,你将需要经常面对别的程序员的代码,也许还有他们的傲慢态度,他们会经常说自己的代码是完美的。 这样的程序员是自以为...

2017-08-29 22:34:00 150

转载 笨办法学Python(二十五)

习题 25: 更多更多的练习 我们将做一些关于函数和变量的练习,以确认你真正掌握了这些知识。这节练习对你来说可以说是一本道:写程序,逐行研究,弄懂它。 不过这节练习还是有些不同,你不需要运行它,取而代之,你需要将它导入到 python 里通过自己执行函数的方式运行。 1 def break_words(stuff): 2 """This fun...

2017-08-26 22:27:00 153

转载 笨办法学Python(二十四)

习题 24: 更多练习 你离这本书第一部分的结尾已经不远了,你应该已经具备了足够的 Python 基础知识,可以继续学习一些编程的原理了,但你应该做更多的练习。这个练习的内容比较长,它的目的是锻炼你的毅力,下一个习题也差不多是这样的,好好完成它们,做到完全正确,记得仔细检查。 1 print "Let's practice everything." 2 prin...

2017-08-26 12:05:00 97

转载 笨办法学Python(二十三)

习题 23: 读代码 上一周你应该已经牢记了你的符号列表。现在你需要将这些运用起来,再花一周的时间,在网上阅读代码。这个任务初看会觉得很艰巨。我将直接把你丢到深水区呆几天,让你竭尽全力去读懂实实在在的项目里的代码。这节练习的目的不是让你读懂,而是让你学会下面的技能:找到你需要的 Python 代码。通读代码,找到文件。尝试理解你找到的代码。 以你现...

2017-08-25 22:58:00 123

转载 笨办法学Python(二十二)

习题 22: 到现在你学到了哪些东西? 这节以及下一节的习题中不会有任何代码,所以也不会有习题答案或者加分习题。其实这节习题可以说是一个巨型的加分习题。我将让你完成一个表格,让你回顾你到现在学到的所有东西。 首先,回到你的每一个习题的脚本里,把你碰到的每一个词和每一个符号(symbol,character的别名)写下来。确保你的符号列表是完整的。下一步,在每一个关...

2017-08-25 22:54:00 50

转载 笨办法学Python(二十一)

习题 21: 函数可以返回东西 你已经学过使用=给变量命名,以及将变量定义为某个数字或者字符串。接下来我们将让你见证更多奇迹。我们要演示给你的是如何使用=以及一个新的 Python 词汇return来将变量设置为“一个函数的值”。有一点你需要及其注意,不过我们暂且不讲,先撰写下面的脚本吧: 1 def add(a, b): 2 print "A...

2017-08-24 22:31:00 63

转载 笨办法学Python(二十)

习题 20: 函数和文件 回忆一下函数的要点,然后一边做这节练习,一边注意一下函数和文件是如何在一起协作发挥作用的。 1 from sys import argv 2 3 script, input_file = argv 4 5 def print_all(f): 6 print f.read() 7 8 def rewi...

2017-08-23 22:21:00 91

转载 笨办法学Python(十九)

习题 19: 函数和变量 函数这个概念也许承载了太多的信息量,不过别担心。只要坚持做这些练习,对照上个练习中的检查点检查一遍这次的联系,你最终会明白这些内容的。 有一个你可能没有注意到的细节,我们现在强调一下:函数里边的变量和脚本里边的变量之间是没有连接的。下面的这个练习可以让你对这一点有更多的思考: 1 def cheese_and_crackers(c...

2017-08-20 22:05:00 126

转载 笨办法学Python(十八)

习题 18: 命名、变量、代码、函数 标题包含的内容够多的吧?接下来我要教你“函数(function)”了!咚咚锵!说到函数,不一样的人会对它有不一样的理解和使用方法,不过我只会教你现在能用到的最简单的使用方式。 函数可以做三样事情:它们给代码片段命名,就跟“变量”给字符串和数字命名一样。它们可以接受参数,就跟你的脚本接受argv一样。通过使用 #...

2017-08-17 21:45:00 85

转载 笨办法学Python(十七)

习题 17: 更多文件操作 现在让我们再学习几种文件操作。我们将编写一个 Python 脚本,将一个文件中的内容拷贝到另外一个文件中。这个脚本很短,不过它会让你对于文件操作有更多的了解。 1 from sys import argv 2 from os.path import exists 3 4 script, from_file, to_file ...

2017-08-16 23:33:00 130

转载 笨办法学Python(十六)

习题 16: 读写文件如果你做了上一个练习的加分习题,你应该已经了解了各种文件相关的命令(方法/函数)。你应该记住的命令如下:close – 关闭文件。跟你编辑器的文件->保存..一个意思。read – 读取文件内容。你可以把结果赋给一个变量。readline – 读取文本文件中的一行。truncate – 清空文件,请小心使用该命令。write(s...

2017-08-13 22:47:00 67

转载 笨办法学Python(十五)

习题 15: 读取文件 你已经学过了raw_input和argv,这些是你开始学习读取文件的必备基础。你可能需要多多实验才能明白它的工作原理,所以你要细心做练习,并且仔细检查结果。处理文件需要非常仔细,如果不仔细的话,你可能会吧有用的文件弄坏或者清空。导致前功尽弃。 这节练习涉及到写两个文件。一个正常的ex15.py文件,另外一个是ex15_sample....

2017-08-06 22:30:00 138

转载 笨办法学Python(十四)

习题 14:提示和传递 让我们使用 argv 和 raw_input 一起来向用户提一些特别的问题。下一节习题你会学习如何读写文件,这节练习是下节的基础。在这道习题里我们将用略微不同的方法使用 raw_input,让它打出一个简单的 > 作为提示符。这和一些游戏中的方式类似,例如 Zork 或者 Adventure 这两款游戏。 1 from sy...

2017-07-29 22:49:00 203

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除