CRC FPGA实现

一.CRC简介:

循环冗余校验(Cyclic Redundancy Check, CRC)是一种根据网络数据包或计算机文件等数据产生简短固定位数校验码的一种信道编码技术,主要用来检测或校验数据传输或者保存后可能出现的错误。它是利用除法及余数的原理来作错误侦测的。

二.CRC原理:

 

三.CRC实现方法:

1.模二除法

2.GALOIS 线性反馈移位寄存器LFSR

公式推导:(CRC算法原理及其Verilog实现_海鲜小王子的博客-CSDN博客_crcverilog)[1](基于Matlab的并行循环冗余校验Verilog代码自动生成方法)[2]

在计算Q(x)/G(x)的结果时,根据模2运算法则,如果R15+ Mm-1的结果为1,则商为1,余数为Q(x)-G(x);如果R15+ Mm-1的结果为0,则商为0,余数为Q(x)本身。其中,R15+ Mm-1是模2加法,不进位;Q(x)-G(x)模2减法,不借位。

当上一个CRC16结果的最高位A31和输入的数值Mn模2加法结果为1时,上一次CRC结果右移一位,完成乘2的过程,再与G(x)多项式的系数进行异或运算,完成减法。由于任何数与0异或保持不变,所以LFSR中只有在G(x)多项式为1的系数处才放置异或门。运算完毕以后把结果存入寄存器即为新的CRC16值。

当上一个CRC16结果的最高位R15和输入的数值Mm-1模2加法结果为0时,Q(x)不够除,Q(x)本身作为余数存入寄存器,获得新的CRC16值。由于R15+ Mm-1的结果为0,异或门不起作用,由Rn+1(x)右移一位获得, Q(x)的0次幂为0,刚好把A15+ Mnm-1结果作为输入。因此就有LFSR

 

据此就可以得到参考[2]的公式, 转换为矩阵形式

以上只是针对一次移进一位的情况,当一次移进多位有:

四.公式获取:

五.matlab实现公式获取:

六.工具链接:

Generator for CRC HDL code

OutputLogic.com » CRC Generator

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值