使用移位运算的时候,没有把最终的值重新赋值到原变量,导致死循环

如下代码所示,在使用移位运算的时候,粗心地忘记了赋值运算符。应使用 tmp >>= 1 而不是 tmp >> 1。否则的话tmp一直没有被更新,造成程序陷入到死循环中。

#include <stdio.h>
#include <stdlib.h>
#include <assert.h>

int * newIntRaw(int n)
{
    return (int *)malloc(sizeof(int) * n);
}

int count(int num)
{
    int res = 0;
    unsigned int tmp = (unsigned int)num;

    while (tmp) {
        if (tmp & 0x1) res++;
        tmp = tmp >> 1; // 或者是 tmp >>= 1 而不是 tmp >> 1
    }
    return res;
}

int * countBits(int num, int *returnSize) 
{
    int i;
    int *res = newIntRaw(num + 1);

    assert(num >= 0);
    *returnSize = num + 1;
    for (i = 0; i <= num; ++i)
        res[i] = count(i);
    return res;
}

void dispInt(int *arr, int n)
{
    int i;
    for (i = 0; i < n; ++i)
        printf("%d ", arr[i]);
    putchar('\n');
}

int main()
{
    int num;
    int n;
    int *ret;

    scanf("%d", &num);
    ret = countBits(num, &n);
    dispInt(ret, n);
    return 0;
}
/*
5
0 1 1 2 -1 2
*/
移位运算在Verilog中有两种类型,即算数移位和逻辑移位。对于算数移位,如果移位赋值目标位数多于源数据,对于有符号数右,先用符号位填充多出的位,然后按照各自的移位运算方式进行运算。对于无符号数,逻辑移位和算数移位的效果一致,即用0来补充空缺位。\[1\] 在Verilog中,移位运算符可以用来实现数乘操作。例如,当我们要将输入d乘以1时,可以直接赋值给out;当我们要将输入d乘以3时,可以将输入d左2位然后减去d;当我们要将输入d乘以7时,可以将输入d左3位然后减去d;当我们要将输入d乘以8时,可以将输入d左3位。总结如下:(din为中间变量) 数乘位运算: 1d3(din<<2)-din 7(din<<3)-din 8(din<<3) 这样可以实现不同的数乘操作。\[2\] 在Verilog中,移位运算的使用方法如下: a >> n; // 右n位 a << n; // 左n位 其中,a代表要进行移位的操作数,n代表要动的位数。这两种移位运算都用0来填补出的空位。\[3\] #### 引用[.reference_title] - *1* *2* [Verilog学习之移位运算与乘法设计](https://blog.csdn.net/m0_52529907/article/details/125614685)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [Verilog 中的移位(算术移位, 逻辑移位, 循环移位)](https://blog.csdn.net/Reborn_Lee/article/details/89813616)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值