自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 收藏
  • 关注

转载 fpga图像处理学习日记(4)

此次任务的主要目标是完成之前三次的仿真任务以及uart协议串口接收的代码编写,仿真还是花了不少时间的,因为仿真用的板子是ego1,利用vivado来下板子,之前一直是通过ise在basys3上完成板级实验,要说的是,ego1提供的是100mhz的频率时钟,所以需要一个时钟分频来校对100hz转25hz:module c100_25( input clk_100mhz, input...

2019-02-07 20:27:00 337

转载 fpga图像处理学习日记(3)

这一次的学习任务主要为在vga显示屏上面显示汉字或是字母,代码的原理出现了新的知识,首先汉字或是字母在屏幕上的排列方式转化为代码使用了pctolcd2002软件来完成,在校对好对应的参数之后,就可以通过软件直接来输出代码例如上述汉字“嘻嘻嘻”,借助软件转化为了我们需要使用的代码嘻(0) 嘻(1) 嘻(2)DB 00H 40H;DB 07H FCH;DB F0H 40H;D...

2019-02-07 20:20:00 172

转载 fpga图像处理学习日记(2)

今天首先了解到了昨天不太清楚的一些名词的含义以及使用方法,也明白了分辨率,帧率的含义其次学习了在vga上显示256种颜色代码代码思路上和上一篇文章挺像的,只是加之在有效区之上划分出来了256个小格子,并在各个小格子上利用不同的rgb值赋予不同的颜色,有区别的是,上一篇文章中的rgb三个输出端口都是一位的,而这次分别是三位,三位,二位。令我不太理解的一点是,上一篇文章的...

2019-01-31 20:34:00 148

转载 fpga图像处理学习日记(1)

在学习有关方面之前,我还是先行的用了一天时间重新学习了一遍uart协议,我认为对uart的熟悉程度会对接下来的学习过程有一定的帮助,于是开始了对图像处理的学习,首先在找资料方面实在是难受,每次在查找资料的过程都是很痛苦的,每每不能找到我想到的东西,花了很长的功夫去四处找我要学习的东西,终于东拼西凑对VGA有了一点初步的了解但是说实话,现在对一些名词还是没有很强的概念,比如前沿后沿...

2019-01-30 21:00:00 183

转载 热身

在学习完下学期的一些简单课程之后,之后的时间就留给了fpga的学习方向,首先用一个小题目来练一练手,回顾一下以前学到的知识看到这个题我第一个想法就是想直接用计数器来实现,在一个使能信号结束之后,输出dout的波形有些类似于奇分频和偶分频,在之前的学习中,我学到了一些奇分频的方法,如制作两个分频后与运算结合得到奇分频,这次我想试一试用一种我理解的比较简单的...

2019-01-28 20:32:00 94

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除