自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

  • 博客(12)
  • 收藏
  • 关注

转载 ROS on Raspberry Pi 3B

ROS on Raspberry Pi 3BPrepare Ubuntu Mate 16.04Download SD Card Formatter then install it.Download Win32 Disk ImagerDownload Ubuntu Mate 16.04 - recommended then unzip it.Install Ubuntu on...

2019-04-02 21:35:00 211

转载 神经网络模型拆分

神经网络模型拆分Distributed Machine Learning Federated Learning针对神经网络的模型并行方法有:横向按层划分、纵向跨层划分和模型随机划分 横向按层划分将神经网络每两层间的连边参数、激活函数值和误差传播值存储于一个工作结点。前馈时,每一个节点要先向前一个结点索要一层的数据,经过自身的计算后,再把数据存到自身的末层上,供下一层发...

2018-12-07 23:02:00 1126

转载 Chisel 学习笔记(七)

Chisel 学习笔记(七)Chisel 集合在Chisel中,我们既可以使用Scala中自带的集合,包括List,Seq,ArrayBuffer——List的使用方式在学习笔记(一)中有所体现;Seq与List类似,但是在Chisel中,我们更常使用Seq来表述某个模块的参数;ArrayBuffer的特性是不用描述出长度,且通过+就可以简单的添加元素(-可以删除元素),...

2018-12-07 13:24:00 672

转载 Chisel 学习笔记(六)

Chisel 学习笔记(六)Chisel 参数 样例1classclass ParameterizedWidthAdderParamet (in0Width: Int, in1Width: Int, sumWidth: Int) extends Module { require(in0Width >= 0) require(in1Width &g...

2018-12-06 23:00:00 341

转载 Chisel 学习笔记(四)

Chisel Chisel中的组合逻辑 Input与OutputChisel中的所有类都要继承Module类中必须要定义io类型,用来表示该模块的输入输出端口每一个输入输出端口,需要说明是有符号数还是无符号数,以及数据位宽class MyOperatorsTwo extends Module { val io = IO(new Bundle { ...

2018-12-06 21:29:00 399

转载 Chisel 学习笔记(五)

Chisel 学习笔记(五)Chisel Chisel中的时序逻辑 寄存器regval register = Reg(UInt(12.W))class RegisterModule extends Module { val io = IO(new Bundle { val in = Input(UInt(12.W)) val out = ...

2018-12-05 20:28:00 309

转载 Chisel 学习笔记(三)

Chisel 学习笔记(三)Chisel Chisel example、测试、verilog生成 样例模块package Passthroughimport chisel3._class MAC extends Module{ val io = IO(new Bundle{ val in_a = Input(UInt(4.W)) val ...

2018-12-05 19:37:00 158

转载 Chisel 学习笔记(二)

Chisel 学习笔记(二)Chisel 新建Chisel项目 方式一将如下链接中的项目下载至本地。enter description here更改工程名称。删除.git,并将build.sbt中的name改成自己项目的名称。删除source>main>scala中的文件和source>test>scala中的文件。得到纯净版。...

2018-12-05 19:36:00 250

转载 面向对象第三次总结性博客

面向对象第三次总结性博客 规格化设计的发展和重要性关于这个题目,搜寻好久无果。反倒是找到了一个有意思的东西。有趣的问题后来经过多次尝试之后,键入关键字Specification and Verification,出现了数篇英文论文。看上去国外更比国内关注规格的重要性,这样来说北航的OO课程还是超前的。其中比较有说服力的一篇文章如下:Specification and ...

2018-05-29 16:52:00 106

转载 Git 学习笔记

廖雪峰的Git教程:创建Git仓库:  使用mkdir指令可以新建一个文件,cd进入该文件,pwd可以展示当前路径  进入新创建的文件夹中,或进入已有的文件夹中,使用git init可以创建一个Git仓库  把编辑好的文件放入Git仓库所在文件夹中,使用指令git add newfile.txt把文件添加到仓库  git commit -m "write some a...

2018-03-09 17:41:00 55

转载 面向对象程序设计lesson2心得体会

第二节课我们主要学习了接口和IO操作。接口可以看作一个纯的抽象类,只提供设计,不提供方法实现。接口中可以包含基本数据类型,默认为static和final。接口可以允许在几个不相干的对象中定义共同的行为。声明接口时使用[接口修饰符] interface 接口名称 [extends 父接口名]。接口中所有方法自动地属于public。在实现接口时,要使用implements,来自接口的方法必须...

2017-07-11 12:25:00 99

转载 面向对象程序设计先导lesson1心得体会

  因为听说大二下OO这门课是个重头戏,再加上自己C学的还可以,我决定笨鸟先飞,提前学习一下JAVA的基础。课程和预想的有稍许不同,可以说比预想的好太多。注重实践,引导式教学,给自己思考留出了很大的空间。课堂上学到的东西也很多。虽然很多内容与C相似,但还是有很大不同。JAVA里面的类可以看作特殊的C的结构,结构体里不但有各种变量,还可以在里面写函数,这些函数在JAVA里就叫方法。一般来讲...

2017-07-06 22:53:00 120

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除