自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 资源 (36)
  • 收藏
  • 关注

转载 斐波那契数列--编程之美(待完善)

解法三:分治策略:注意到Fibonacci数列是二阶递推数列,所以存在一个2*2的矩阵A,使得:(F[n] F[n-1])=(F[n-1] F[n-2])*A,结合F[n]=F[n-1]+F[n-2]求解,可得:A=1 1,1 0(上面是1 1,下面为1 0),由上面的矩阵递推公式有:(F[n] F[n-1])=(F[n-1] F[n-2])*A=(F[n-2] F[n-3])*A^2=.

2012-08-23 21:29:55 1204

原创 用一个变量实现双重循环

用一个变量实现双重循环char i = 81;while( i-- ){ if(i/9%3 == i%9%3) continue; printf("A = %d, B = %d", i/9 +1, i%9 +1);}i--,i减9次,i/9减一次,i%9减9次所以i减81次,i/9减9次,i%9减81次实现了9*9的循环所以,若

2012-08-23 10:35:01 670

转载 输入一行字符串,找出其中出现的相同且长度最长的字符串

#include #includeusing namespace std;int main(){ string str,tep; cout<<"请输入字符串"<<endl; cin>>str;for(int i=str.length()-1;i>1;i--){ for(int j=0;j<str.length();j++) { i

2012-08-19 15:39:19 3548

转载 求一个字符串中连续出现次数最多的子串

/* Author: Mcdragon Date: 15-07-11 21:17 Description: 求一个字符串中连续出现次数最多的子串. 基本算法描述: 给出一个字符串abababa 1.穷举出所有的后缀子串 substrs[0] = abababa; substrs[1] = bababa; substr

2012-08-19 14:54:56 775

转载 (int&)a 与 (int)&a 有什么区别!疑惑!!!!公司应聘考试题目!

#include #include #include #include using namespace std;int main(){float a = 1.0f;cout cout cout cout cout cout     cout  输出false.因为1!=1065353216.float b = 0.0f;cou

2012-08-13 08:46:33 1859

原创 del_timer和del_timer_sync

8.3.3  del_timer和del_timer_sync同add_timer函数相反,del_timer类的函数负责从系统的定时器管理队列中摘除一个定时器对象。del_timer和del_timer_sync的函数原型为:kernel/timer.c> int del_timer(struct timer_list *timer);  int del_timer_sync(struc

2012-08-07 19:24:07 4279

转载 tcp.c文件的tcp_send_skb函数

1函数源码/* *    This is the main buffer sending routine. We queue the buffer *    having checked it is sane seeming. */ staticvoidtcp_send_skb(structsock *sk, struct sk_buff *skb){

2012-08-07 08:41:39 1195

原创 release_sock函数

void release_sock(struct sock *sk) 释放backlog  {   unsigned long flags;  #ifdef CONFIG_INET   struct sk_buff *skb;  #endif     if (!sk->prot)   return;   /*   * Make the backlog atomic. If we

2012-08-06 23:04:38 2895

转载 tcp.c文件的tcp_listen_select函数(14)

tcp.c文件的tcp_listen_select函数 978计划工作组 2009-8-25 1函数源码 /* * LISTEN is a special case for select.. */ static int tcp_listen_select(struct sock *sk, int sel_type, select_ta

2012-08-06 22:36:07 1040

转载 非阻塞通信

本篇文章观点和例子来自 《Java网络编程精解》, 作者为孙卫琴, 出版社为电子工业出版社。       对于用ServerSocket 及 Socket 编写的服务器程序和客户程序, 他们在运行过程中常常会阻塞. 例如, 当一个线程执行 ServerSocket 的accept() 方法时, 假如没有客户连接, 该线程就会一直等到有客户连接才从 accept() 方法返回. 再例如,

2012-08-06 10:26:40 1338

lc1860sdr.pdf

0前言表1XC4210核心各存储空间访问延迟统计LC1860平台芯片是大唐联芯科技有限公司研制的一款通信处理存储空间访问延迟空间大小芯片。该芯片在设计之初是基于目前民用通信领域流行的2G、3G、4GTCM几乎为0512KB标准,因而其基带和射频的配置、数字信号处理资源均与2G、3G、4GSHARERAM约6cycle336KB标准存在绑定关系,从而造成该芯片在通用性方面具有一定的限制。近年来随着各领域国产化需求的不断提高,该芯片的使用场景不再仅DDR约数十cycle2GB限于原有的2G、3G、4G标准,更扩展到某些特定应用场景下的波形。表2XC4210标量运算速度评估因而在该平台进行其他物理波形的设计和移植之前,必须对该平台的运算类型XC4210C64x+处理性能、驱动配置等方面进行充分研究。本文从该芯片的架构出发,存储位置针对该平台通信处理单元的数据处理性能、存储空间和硬件资源等方RAMSHARERAMDDRRAMDDR面进行充分研究,并提出物理层算法实现的优化方案,为其他通信系加72.5us169us475us43us46us统的设计和实现提供依据。减72.5us169us475us42us46us1LC1860平台概述和软件部署乘72.5us169us475us69us70usLC1860芯片整体分为AP、CP和顶层3个部分,其中CP为通信根据对比测试结果,可以得出以下结论:处理单元。CP端包含3个核心,即CP_A7、X1643和XC4210等。由于1)变量的存储位置对于XC4210运算

2019-11-03

三极管工作原理及详解.zip

三极管,全称应为半导体三极管,也称双极型晶体管、晶体三极管,是一种电流控制电流的半导体器件·其作用是把微弱信号放大成幅度值较大的电信号, 也用作无触点开关。晶体三极管,是半导体基本元器件之一,具有电流放大作用,是电子电路的核心元件。三极管是在一块半导体基片上制作两个相距很近的PN结,两个PN结把整块半导体分成三部分,中间部分是基区,两侧部分是发射区和集

2019-10-26

PNP型三极管.zip

二极管的工作原理、伏安特性、主要参数 三极管的放大作用、输入和输出特性曲线及主要参数 晶体二极管、三极管的识别与简单測試 场效应管和晶闸管的工作原理、伏安特性、主要参数

2019-10-26

android编译器 gcc,g++,c/c++编译器和插件

android gcc,g++,c/c++编译器和插件 内含说明书

2012-10-03

严蔚敏《数据结构》源代码

严蔚敏《数据结构》源代码

2012-09-12

妙趣横生的算法(C语言实现)

电子书,c算法基础,比较好 作者: 杨峰 出版社:清华大学出版社 ISBN:9787302216018 上架时间:2010-4-1 出版日期:2010 年4月 开本:16开 页码:377 版次:1-1

2012-09-04

Linux C编程实战 pdf 童永清

这是电子书,内容齐全,解压后80多M,不是光盘资料 作者: 童永清 出版社:人民邮电出版社 ISBN:9787115174086 上架时间:2008-3-21 出版日期:2008 年3月

2012-09-03

linux系统调用过程分析

linux系统调用过程分析,深入分析linux系统调用过程,用例子讲解

2012-09-02

opnet仿真内核模拟源代码

完整模拟了opnet仿真内核工作原理,c语言编写,也可作为其他仿真工具实例,有详细代码解释

2011-12-22

最优化理论与算法(第2版)答案(部分) 陈宝林

最优化理论与算法(第2版)答案(部分) 陈宝林 最优化理论与算法(第2版)习题解答 清华大学出版社

2011-06-04

网络体系与协议 经典

网络体系与协议 计算机网络 tcp/ip协议 osi

2010-12-21

c/c++面试题 电子工程师面试 电子类面试题

c/c++面试题 电子工程师面试 电子类面试题

2010-10-08

成绩处理系统C++

c++成绩处理系统 成绩处理系统文件版

2010-10-08

图形界面学生管理系统(最新版所有功能均实现)c++ c++学生管理系统

图形界面学生管理系统(最新版所有功能均实现)c++ c++学生管理系统

2010-10-08

学生成绩管理系统(基于文件的MFC) mfc学生成绩管理系统 C++学生成绩管理系统

学生成绩管理系统(基于文件的MFC) mfc学生成绩管理系统 C++学生成绩管理系统

2010-10-08

bt协议 bt协议详解 bt协议原理 bt协议说明 bt源码

bt协议 bt协议详解 bt协议原理 bt协议说明 bt源码

2010-10-04

数字电路EDA入门:VHDL程序实例集 eda教程 vhdl教程

数字电路EDA入门:VHDL程序实例集 eda教程 vhdl教程

2010-10-04

keil51教程 keil教程 keil使用说明 keil使用方法 keil单片机开发

keil51教程 keil教程 keil案例 keil使用说明 keil使用方法 单片机开发

2010-10-04

OPNET仿真设计 培训资料 初级入门 OPNET例程

OPNET仿真设计培训资料 opnet初级入门 OPNET例程

2010-10-01

USBXpress开发包 实例 API驱动 Silicon Laboratories

Silicon Laboratories公司的USBXpress库USBXpress开发包 实例 API驱动Silicon Laboratories

2010-05-22

word下画电路图方法 word 电路图技巧

word下画电路图方法 word 电路图技巧 word怎么画电路图

2010-05-21

DTR950S技术手册 说明书 无线收发模块

DTR950S 技术手册 DTR950S说明书 无线收发模块DTR950 使用说明

2010-05-21

基于dds的数字移相信号发生器源码

基于dds的数字移相信号发生器源码电子自动化eda设计源码

2010-05-15

学生成绩管理系统选课系统

成绩管理系统 选课系统 学生选课 c++ 学生成绩管理

2010-05-15

数字设计:原理与实践 答案

结合作者严谨的学术风范与丰富的实践背景,讲述了插件板级和VLSI系统中的数字设计基本原理和实践需求,提供了广泛的逻辑设计实践,给出了大量实际应用,并配有丰富的练习题。全书共分9章,主要内容包括:数字设计介绍,数制和编码,数字电路,组合逻辑设计原理和实践,硬件描述语言(HDL),时序逻辑设计原理和实践,存储器、CPLD和FPGA。

2010-04-24

数字设计:原理与实践

数字设计原理与实践,结合作者严谨的学术风范与丰富的实践背景,讲述了插件板级和VLSI系统中的数字设计基本原理和实践需求,提供了广泛的逻辑设计实践,给出了大量实际应用,并配有丰富的练习题。全书共分9章,主要内容包括:数字设计介绍,数制和编码,数字电路,组合逻辑设计原理和实践,硬件描述语言(HDL),时序逻辑设计原理和实践,存储器、CPLD和FPGA。

2010-04-24

TCP/IP详解 3

主要内容包括:TCP事务协议,即T/TCP,这是对TCP的扩展,使客户-服务器事务更快、更高效和更可靠;TCP/IP应用,主要是HTTP和NNTP;UNIX域协议,这些协议提供了进程之间通信的一种手段。

2010-04-24

TCP/IP详解(1)

介绍tcp/ip的经典著作描述了属于每一层的各个协议以及它们如何在不同操作系统中运行。作者用Lawrence Berkeley实验室的tcpdump程序来捕获不同操作系统和TCP/IP实现之间传输的不同分组。对tcpdump输出的研究可以帮助理解不同协议如何工作。本书适合作为计算机专业学生学习网络的教材和教师参考书。也适用于研究网络的技术人员。 。

2010-04-24

电磁场与电磁波(第二版)习题答案 郭辉萍 西安电子科技大学出版社

电磁场与电磁波 第二版 习题答案 郭辉萍 西安电子科技大学出版社 此答案为部分课后习题

2008-11-25

VHDL数字时钟 EDA 设计 电子钟

VHDL 数字时钟源代码 具有较时功能,带秒表 分频,电子自动化设计练习

2008-11-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除