SV代码行数统计脚本(python)

import os

path = "F:\poject\sv"
list_file_type = ['v', 'sv', 'svh', 'c', 'cpp']


def get_count(fh):
    ret = 0
    flag = 0
    list_line = fh.readlines()
    for line in list_line:
        line = line.strip()

        if len(line) == 0:
            continue

        if line[0:2] == '//':
            continue

        if flag == 1:
            if '*/' in line:
                flag = 0
            continue

        if line[0:2] == '/*':
            flag = 1
            if '*/' in line:
                flag = 0
            continue

        ret += 1

    return ret


def start():
    total = 0
    for root, dirs, files in os.walk(path):
        for file in files:
            type = file.split('.')[-1]
            if not type in list_file_type:
                continue

            file_path = os.path.join(root, file)
            fh = open(file_path, "r")
            ret = get_count(fh)
            total += ret
            print(str(ret).ljust(5) + file_path)

    print("lines of code: " + str(total))


if '__main__' == __name__:
    start()

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
你可以使用Python的os模块和re模块来完成这个任务。具体步骤如下: 1. 使用os.walk遍历指定目录下的所有文件和子目录,找到所有的Verilog文件。 2. 对于每个Verilog文件,使用re模块匹配其中的include语句,并提取出被include的文件名。 3. 对于被include的文件,重复步骤1和2,直到找不到新的include文件为止。 4. 统计每个文件中的代码行数,可以使用Python的built-in函数open和readlines来实现。 下面是一个简单的示例代码: ```python import os import re def count_verilog_lines(root_dir): verilog_files = [] included_files = set() # find all verilog files for dirpath, _, filenames in os.walk(root_dir): for filename in filenames: if filename.endswith('.v') or filename.endswith('.sv'): verilog_files.append(os.path.join(dirpath, filename)) # find included files while verilog_files: verilog_file = verilog_files.pop() with open(verilog_file, 'r') as f: for line in f: match = re.match(r'^\s*`include\s+"(.+)"', line) if match: included_file = os.path.join(os.path.dirname(verilog_file), match.group(1)) if included_file not in included_files: included_files.add(included_file) verilog_files.append(included_file) # count lines for each file line_counts = {} for file in [verilog_file] + list(included_files): with open(file, 'r') as f: line_counts[file] = len(f.readlines()) return line_counts ``` 你可以调用该函数,传入一个目录名作为参数来统计该目录下所有Verilog文件和include文件的代码行数

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值