--连续四行显示 ok
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity l12864 is
port(clk,reset:in std_logic;
RW,RS:out std_logic;
E:buffer std_logic;
PSB:out std_logic;
LcdData:out std_logic_vector(7 downto 0));
end l12864;
architecture behavior of l12864 is
signal cout:std_logic;
type States is(s0,s1,s2,s3);
signal CurrentState:States;
type InstructArray is array(0 to 2)of std_logic_vector(7 downto 0);
type DataArray is array(0 to 63)of std_logic_vector(7 downto 0);
constant Instruct:InstructArray:=(x"30",x"0c",x"01");
--定义了所有的控制字
constant DataBuffer1:DataArray:=(X"a3",X"b0",X"a3",X"b8",X"c4",X"ea",X"bc",X"b6",--08年级电气三班