4x8c液晶连续四行显示

这是一个VHDL代码实现的液晶显示控制器,能够连续四行显示内容。通过定义状态机和控制字,实现了对液晶屏的初始化、定位及数据显示。代码中包含了对液晶显示的数据缓冲区和控制信号的详细处理。
摘要由CSDN通过智能技术生成

--连续四行显示   ok

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity l12864 is
port(clk,reset:in std_logic;
       RW,RS:out std_logic;
           E:buffer std_logic;
         PSB:out std_logic;
     LcdData:out std_logic_vector(7 downto 0));
end l12864;

architecture behavior of l12864 is
                                
                                
signal cout:std_logic;

type States is(s0,s1,s2,s3);
signal CurrentState:States;

type InstructArray is array(0 to 2)of std_logic_vector(7 downto 0);
type DataArray is array(0 to 63)of std_logic_vector(7 downto 0);

constant Instruct:InstructArray:=(x"30",x"0c",x"01");

--定义了所有的控制字
constant DataBuffer1:DataArray:=(X"a3",X"b0",X"a3",X"b8",X"c4",X"ea",X"bc",X"b6",--08年级电气三班
             

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值