ZYNQ 7000 Vivado 裸机开发(一)hello_world

vivado版本:Vivado 2019.2
SOC:
本例程实现从串口持续打印"hello_world",只进行PS端的开发,不涉及PL端。

一、新建Vivado工程
打开Vivado软件->Create Project,一路点击Next,遇到项目名称,将项目名称由project_ 1改为hello_world,保存到合适的位置:
在这里插入图片描述

再一路Next,进入器件选型界面,如下图,选择xc7z020clg400-2,然后一路Next,最后Finsh.
在这里插入图片描述
二、新建块设计
点击下图红色圈中的Create Block Design:
在这里插入图片描述
将设计名由design_1,更改为system,点击OK。

  • 3
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
Zynq-7020是一款Xilinx的可编程逻辑器件(PL)和嵌入式处理器系统(PS)集成在一起的SoC(系统级芯片)。它具有双核ARM Cortex-A9处理器和可配置逻辑单元(FPGA)的组合。Vivado是一款由Xilinx提供的集成开发环境(IDE),用于设计、综合、实施和验证FPGA和SoC设计。Vivado可以与Zynq-7020配合使用,进行FPGA的开发和编程。使用Vivado进行Zynq-7020的开发,可以通过创建Vivado工程,编写Verilog HDL代码,编辑约束文件以及生成BIT文件等步骤来实现。我们可以通过对GPIO的操作来点亮LED,通过时序约束来控制时钟频率等。根据需要,还可以添加其他的IP核和功能模块来实现更复杂的设计。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [ZYNQ7020-OV5640mipi_HDMI+vivado工程.zip](https://download.csdn.net/download/qq_32856147/20448824)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [41_ZYNQ7020开发Vivado操作_GPIO](https://blog.csdn.net/weixin_39193953/article/details/108487182)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值