AMBA_APB_SRAM 项目使用教程

AMBA_APB_SRAM 项目使用教程

AMBA_APB_SRAMAMBA v.3 APB v.1 Specification Complaint Slave SRAM Core design and testbench. The testbench is developed using System Verilog and UVM and can be used as standalone Verification IP (VIP). 项目地址:https://gitcode.com/gh_mirrors/am/AMBA_APB_SRAM

项目的目录结构及介绍

AMBA_APB_SRAM 项目的目录结构如下:

  • doc: 包含项目文档,如测试用例计划、验证计划等。
    • TestcasePlan
      • apb_sram_testplan.xlsx
    • VerificationPlan
  • rtl: 包含 APB SRAM 核心的 RTL 代码。
    • apb_v3_sram.v
  • scripts: 包含运行测试的脚本。
    • runscript.ps1: 用于在 Windows 上使用 Questasim 或 modelsim 运行测试的脚本。
  • sim: 包含模拟工作目录,这是运行测试时应打开终端的地方。
    • tb_filelist.f: 主文件列表。
    • tb_filelist_for_VRM.f: 用于使用 Questa Verification Run Manager (VRM) 运行回归测试的文件列表。
    • apb_regression.rmdb: 用于运行回归测试的 RMDB 数据库文件。
    • apb_regression_with_VRM.vrm: 用于运行回归测试的 VRM 文件。

项目的启动文件介绍

项目的启动文件主要是 runscript.ps1,这是一个 PowerShell 脚本,用于在 Windows 环境下使用 Questasim 或 modelsim 运行测试。该脚本会执行必要的模拟和验证任务,确保 APB SRAM 核心的正确性。

项目的配置文件介绍

项目的配置文件主要位于 sim 目录下,包括:

  • tb_filelist.f: 主文件列表,列出了所有需要加载的测试文件。
  • tb_filelist_for_VRM.f: 用于使用 Questa Verification Run Manager (VRM) 运行回归测试的文件列表。这个文件需要根据实际路径进行修改。
  • apb_regression.rmdb: 用于运行回归测试的 RMDB 数据库文件。
  • apb_regression_with_VRM.vrm: 用于运行回归测试的 VRM 文件。

这些配置文件确保了项目在不同环境下的正确运行和验证。

AMBA_APB_SRAMAMBA v.3 APB v.1 Specification Complaint Slave SRAM Core design and testbench. The testbench is developed using System Verilog and UVM and can be used as standalone Verification IP (VIP). 项目地址:https://gitcode.com/gh_mirrors/am/AMBA_APB_SRAM

  • 7
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

马安柯Lorelei

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值