block传值快速创建

1.方法传值(正向逆向都可以
#pragma mark 一级页面创建block方法,在二级页面调用这个方法即可
- (void)getName:(NSString *)nameOne withBlcok:(void(^)(NSString *name,int age))person;

#pragma mark 在.m文件中对block 进行赋值
- (void)getName:(NSString *)nameOne withBlcok:(void(^)(NSString *name,int age))person {
    person(nameOne,18);
}

#pragma mark 直接在二级页面使用方法即可
    ViewController *VC = [[ViewController alloc] init];
    [VC getName:@"赵本山" withBlcok:^(NSString *name, int age) {
        NSLog(@"name ==== %@",name);
        NSLog(@"age ==== %d",age);
    }];
2. 属性block传值
#pragma mark 属性block传值,直接使用属性进行传值,在二级页面创建属性,对属性赋值,即可直接进行,可将值传给一级页面
typedef void(^TestBlcok)(int one,int two);

#pragma mark 创建属性blcok
@property (nonatomic,copy) TestBlcok testBlock;

//.m文件中可以直接对属性block中参数进行赋值
self.testBlock(10,11);

#pragma mark 在二级页面中对这个block赋值可以直接激活这个block方法,达到激活block的目的
    FirstViewController *firstVC = [[FirstViewController alloc] init];

    firstVC.testBlock = ^(int one,int two) {
        NSLog(@"one ===== %d",one);
        NSLog(@"two ===== %d",two);
    };

    [self.navigationController pushViewController:firstVC animated:YES];
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
下面是一个典型的 Block design 创建步骤的简要概述: 1. 打开设计工具:打开适用于 FPGA 或 SoC 设计的设计工具,例如 Vivado、Quartus、ISE 等。 2. 创建项目:创建一个新的项目,并指定项目的名称和目录。 3. 添加 Block design:在项目中添加一个新的 Block design,并给它一个适当的名称。 4. 添加模块:在 Block design 中添加所需的模块。这些模块可以是自定义的功能模块,也可以是现有的 IP 核。 5. 连接模块:通过连接线将模块连接起来,形成所需的数据和控制流。确保连接正确且一致,并根据需求进行适当的调整。 6. 配置模块:对于每个模块,根据其功能需求进行配置。这可能包括设置参数、选择选项、定义接口等。确保每个模块的配置正确并满足系统需求。 7. 生成设计:完成 Block design 的配置后,生成设计。这将会生成相应的 RTL (Register Transfer Level) 代码,用于后续的综合和实现步骤。 8. 进行综合和实现:使用设计工具对生成的 RTL 代码进行综合和实现。这将包括将代码映射到目标设备的资源、布局和布线等。 9. 进行时序分析:在综合和实现完成后,进行时序分析以验证设计的时序性能是否满足要求。 10. 进行仿真和验证:使用仿真工具对设计进行验证,确保其功能和性能与预期一致。 11. 下载到目标设备:对于 FPGA 设计,将最终生成的比特流文件下载到目标 FPGA 设备上进行验证和测试。 以上是 Block design 创建的一般步骤,具体的步骤可能会因设计工具和目标平台的不同而有所差异。在实际应用中,可能还需要根据具体需求进行进一步的优化、调试和测试。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值