自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (4)
  • 收藏
  • 关注

空空如也

写给小白们的FPGA入门设计实验

整理写给小白们的 FPGA入门设计实验,由浅入深,包括: LCD1602 字符显示设计、 4 位减法、加法器设计、三位二进制乘法器设计、流水灯设计等

2018-09-18

Xilinx7系列FPGA用户手册

Xilinx7系列FPGA用户手册,详细的介绍了7系列各个型号的FPGA的各项参数.

2018-06-21

串口调试助手

用于单片机程序烧录.很实用的一个小工具.适合学生学习单片机使用

2018-06-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除