自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(20)
  • 资源 (67)
  • 收藏
  • 关注

原创 How To:建立Win32 Dynamic-Link Library 【转】

  打开Visual C++6.0,选择Win32 Dynamic-Link Library; 新建一个名为dlltest的project, 根据向导选择 “a simple DLL project”,这样就免去了自己写默认代码的麻烦。 打开dlltest.cpp文档,里面的默认代码如下: #include "stdafx.h"   BOOL APIENTRY Dl

2008-05-24 10:47:00 1627

原创 数字万用表如何测试出三极管的极性

数字万用表测试与指针万用表有区别,那么用数字万用表如何测试出三极管的极性呢?首先将万用表打到测试二极管端,用万用表的红表笔接触三极管的其中一个管脚,而用万用表另外的那支表笔去测试其余的管脚,直到测试出如下结果:1、如果三极管的黑表笔接其中一个管脚,而用红表笔测其它两个管脚都导通有电压显示,那么此三极管为PNP三极管,且黑表笔所接的脚为三极管的基极B,用上述方法测试时其中万用表的红表笔接其中

2008-05-24 08:21:00 8410 2

原创 protel99常用元件的电气图形符号和封装形式(二)

Protel零件库中常用器件封装电阻 AXIAL 无极性电容 RAD 电解电容 RB电位器 VR二极管 DIODE三极管 TO场效应管 和三极管一样电源稳压块78和79系列 TO-126H和TO-126V 整流桥 D-44 D-37 D-46 单排多针插座 CON SIP 双列直插元件 DIP 晶振 XTAL1电阻:RES1,RE

2008-05-23 18:53:00 5544 1

原创 protel99常用元件的电气图形符号和封装形式(一)

protel99常用元件的电气图形符号和封装形式  1. 标准电阻:RES1、RES2;封装:AXIAL-0.3到AXIAL-1.0  两端口可变电阻:RES3、RES4;封装:AXIAL-0.3到AXIAL-1.0  三端口可变电阻:RESISTOR TAPPED,POT1,POT2;封装:VR1-VR5  2.电容:CAP(无极性电容)、ELECTRO1或ELECTRO2(极性

2008-05-23 17:56:00 5862 1

原创 51单片机模拟串口的三种方法【转】-附带程序

附:51 IO口模拟串口通讯C源程序(定时器计数法)#i nclude sbit BT_SND =P1^0;sbit BT_REC =P1^1;/**//**********************************************IO 口模拟232通讯程序使用两种方式的C程序 占用定时器0*********************************************

2008-05-11 00:15:00 1496

转载 51单片机模拟串口的三种方法【转】

随着单片机的使用日益频繁,用其作前置机进行采集和通信也常见于各种应用,一般是利用前置机采集各种终端数据后进行处理、存储,再主动或被动上报给管理站。这种情况下下,采集会需要一个串口,上报又需要另一个串口,这就要求单片机具有双串口的功能,但我们知道一般的51系列只提供一个串口,那么另一个串口只能靠程序模拟。本文所说的模拟串口, 就是利用51的两个输入输出引脚如P1.0和P1.1,置1或

2008-05-11 00:11:00 1693

原创 关于51单片机P0口的结构及上拉问题【转】

 1.P0作为地址数据总线时,V1和V2是一起工作的,构成推挽结构。高电平时,V1打开,V2截止;低电平时,V1截止,V2打开。这种情况下不用外接上拉电阻。而且,当V1打开,V2截止,输出高电平的时候,因为内部电源直接通过V1输出到P0口线上,因此驱动能力(电流)可以很大,这就是为什么教科书上说可以"驱动8个TTL负载"的原因。 2.P0作为一般端口时,V1就永远的截止,V2根据输出数据0导通和1

2008-05-10 19:27:00 4162 3

原创 电子工程师必备的60个电子网址【转】

以下是一位高级电子工程师归纳总结的60个电子行业技术网站,电子工程师必备网站.资料(PDF芯片)查询类网站:  IC/PDF查询 http://www.21icsearch.com  电子元器件查询 http://www.chinadz.com/  IC/PDF查询 http://www.ic37.com/  器件手册 http://www.datasheet5.com/    电子技术文章资源下

2008-05-07 19:36:00 2054

原创 搜集来的网址收藏

1. http://msdn.microsoft.com/zh-cn/library/60k1461a.aspx 2. http://msdn.microsoft.com/en-us/library/60k1461a.aspx 3. http://www.codeproject.com/ 4. http://www.vckbase.com/ 5. http://code.googl

2008-05-05 21:01:00 634

原创 良好的编程习惯

1、以简洁明了的方式编写C程序。通常把这种程序编写方法称为KIS(“保持简洁”)。不要用古怪的方式编写程序。 2、阅读所有的C版本手册。经常参考所用的C版本的手册能了解该版本的丰富的特点,并正确地使用这些特点。 3、计算机和编译器是很好的教员。如果对C的某个特点没有把握,编写一个简单的程序,然后编译并运行它,看看会发生什么结果。 4、在每一个函数的前面加上描述函数用途的注释。 5、

2008-05-05 20:31:00 683

原创 C语言学习-递归4

【问题】:模取幂运算 计算a^b mod c 【思路】:                                    / (((a^(b/2))%mod)^2)%mod       b%2=0(a^b)%mod=|                                               (((a^(b/2))%mod)^2*a)%mod  b%2=1【即】:根据上面的

2008-05-05 20:25:00 725

转载 步进电机H桥驱动电路设计 【转】

摘要:设计一种步进电机驱动电路,使加到电机绕组上的电流信号前后沿较陡,降低了开关损耗,改善了电机的高频特性,同时具有多种保护功能.实验证明,该驱动电路简单、可靠并具有优良的驱动性能.    H桥功率驱动电路可应用于步进电机、交流电机及直流电机等的驱动.永磁步进电机或混合式步进电机的励磁绕组都必须用双极性电源供电,也就是说绕组有时需正向电流,有时需反向电流,这样绕组电源需用H桥驱动.本文以两相混合式

2008-05-02 12:03:00 8310 1

转载 H桥驱动电路原理【转】

H桥驱动电路原理一、H桥驱动电路    图4.12中所示为一个典型的直流电机控制电路。电路得名于“H桥驱动电路”是因为它的形状酷似字母H。4个三极管组成H的4条垂直腿,而电机就是H中的横杠(注意:图4.12及随后的两个图都只是示意图,而不是完整的电路图,其中三极管的驱动电路没有画出来)。如图所示,H桥式电机驱动电路包括4个三极管和一个电机。要使电机运转,必须导通对角线上的一对三极管

2008-05-02 11:48:00 11368 2

原创 【5】C++开发常用工具

开发环境   ---->Turbo c   DOS时代c语言开发的经典工具,目前适合两类人使用:c语言beginner(尤其是学生一族),具有怀旧情节的专业人士:)   ---->Visual C++ 6.0/7.0   稳定而强大的IDE开发环境,具有丰富的调试功能,定制宏的功能也是其一大特色。Microsoft的经典之作,功能强大自不必言说。附带的一些工具也很不错,比如:Spy++。但编译器较

2008-05-01 20:19:00 825

转载 【4】VC编译语法解释

VC- Project Setting-Debug-Project Option语法解释-优化- /O1 最小化空间 minimize space /Op[-] 改善浮点数一致性 improve floating-pt consistency /O2 最大化速度 maximize speed /Os 优选代码空间 favor code space /Oa 假设没有别名 assume no alia

2008-05-01 20:18:00 710

原创 【3】VC++6.0编译器详解

prettybird 发表于 2005-12-1 15:49:00 大家可能一直在用VC开发软件,但是对于这个编译器却未必很了解。原因是多方面的。大多数情况下,我们只停留在“使用”它,而不会想去“了解”它。因为它只是一个工具,我们宁可把更多的精力放在C++语言和软件设计上。我们习惯于这样一种“模式”:建立一个项目,然后写代码,然后编译,反反复复调试。但是,所谓:“公欲善其事,必先利其器”。如果我们

2008-05-01 20:17:00 712

转载 【2】LNK2001错误探究

学习VC++时经常会遇到链接错误LNK2001,该错误非常讨厌,因为对于编程者来说,最好改的错误莫过于编译错误,而一般说来发生连接错误时,编译都已通过。产生连接错误的原因非常多,尤其LNK2001错误,常常使人不明其所以然。如果不深入地学习和理解VC++,要想改正连接错误LNK2001非常困难。  初学者在学习VC++的过程中,遇到的LNK2001错误的错误消息主要为:  unresolv

2008-05-01 20:14:00 409

原创 【1】VC文件格式

.opt 工程关于开发环境的参数文件。如工具条位置等信息; .aps (AppStudio File),资源辅助文件,二进制格式,一般不用去管他. .clw ClassWizard信息文件,实际上是INI文件的格式,有兴趣可以研究一下.有时候ClassWizard出问题,手工修改CLW文件可以解决.如果此文件不存在的话,每次用ClassWizard的时候绘提示你是否重建. .dsp (Develo

2008-05-01 20:12:00 500

原创 算法的力量 【转】

算法的力量 2006年5月  算法是计算机科学领域最重要的基石之一,但却受到了国内一些程序员的冷落。许多学生看到一些公司在招聘时要求的编程语言五花八门,就产生了一种误解,认为学计算机就是学各种编程语言,或者认为,学习最新的语言、技术、标准就是最好的铺路方法。其实,大家被这些公司误导了。编程语言虽然该学,但是学习计算机算法和理论更重要,因为计算机语言和开发平台日新月异,但万变不离其宗的是

2008-05-01 16:45:00 567 1

转载 使用ultraedit和cl编译器打造简易c-c++开发环境【转】

在visual c++下,每编写一个简单的小程序,就得生成一大串中间文件,另人十分的不爽。下面提供一个新的编写c/c++程序的方法:(1),下载utraledit-32编辑器,推荐v11.(2),在utraledit-32中,分别点击菜单:高级-工具配置,出现一个dialog,用来设置用户自定义的菜单项。我们的想法是,设置一个编译菜单和运行菜单项,分别用来编译在utraledit-32编写

2008-05-01 15:02:00 980

算法导论的习题答案和教师手册

来源:http://blog.chinaunix.net/u/18517/showart_487811.html 这里提供《算法导论》(第二版)的习题答案和教师手册。该习题答案在网上流传甚广,但非原书作者所写,其质量也不太好(除了只给了一些比较简单的习题的答案外,还存在一些错误,故不推荐)。而教师手册(Instructor's Manual)系原书作者所写,只提供给使用该书的教师所用,故网上较难找到。其主要内容包括一些知识点的讲解和部分习题答案(这可能才是我们关注的重点,呵呵),这些习题答案对应着较难的题目。

2009-09-22

2009年全国大学生电子设计竞赛题目(2009.9.2-7:50)

2009年全国大学生电子设计竞赛题目(2009.9.2-7:50)

2009-09-02

The C++Programming Language Special 3rd Edition(中文pdf版)

The C++Programming Language Special 3rd Edition ,绝对是pdf格式的

2009-08-28

51系列单片机设计实例 267页 3.7M

51系列单片机设计实例 267页 3.7M 北京航空航天大学出版社

2009-06-25

单片机外围电路设计 251页 6.9M

单片机外围电路设计 251页 6.9M 电子工业出版社

2009-06-25

单芯片8051实务与应用 289页 5.5M

单芯片8051实务与应用 289页 5.5M

2009-06-25

图解测量电子电路设计 滤波器篇 远坂 274页 17.2M

图解测量电子电路设计 滤波器篇 远坂 274页 17.2M

2009-06-25

ADS1.2手册(英文版)

详细的ADS1.2 手册,英文版的,pdf格式

2009-06-01

如何阅读datasheet(英文版)

老外教你如何阅读datasheet,英文版的

2009-05-31

李开复给中国学生的七封信(全)

以前放在电脑里的找不到了,我上CSDN,居然要5个下载分,愤怒了!自己上网找了更好更全的,格式什么的都很好,看着很舒服。

2009-05-26

C语言实现有限状态机之模拟程序2

C语言实现有限状态机之模拟程序2,网上直接COPY的,面向对象的

2009-04-17

C语言实现有限状态机之模拟程序1

C语言实现有限状态机之模拟程序1,网上直接COPY的

2009-04-17

ACM国际大学生程序设计竞赛试题与解析(一)

ACM国际大学生程序设计竞赛试题与解析,有效提高你的编程能力

2009-03-20

46家公司笔试题pdf

46知名家公司笔试题,让你的面试更加有把握,更加轻松

2009-03-20

C++Primer 书上源程序

经典c++书籍 C++ primer 配套源程序

2009-03-20

Effective C++ 3rdedition

看 Effective C++ 发现自己明白的太少

2009-03-20

The C++ Programming Language英文版

帮助读者了解C++是如何支持编程技术的,使读者能从中获得新的理解,从而成为一名优秀的编程人员和设计人员。适合做高校面向对象编程课程的教科书,也可作为C++爱好者的参考书。

2009-03-20

gcc编译器3

从LINUX上移植到WINDOWS上的GCC编译器

2008-05-20

gcc编译器2

从LINUX上移植到WINDOWS上的GCC编译器

2008-05-20

gcc编译器

从LINUX上移植到WINDOWS上的GCC编译器

2008-05-20

APUE第一版源码组织文件

APUE第一版源码组织文件 sourcefiles APUE

2010-05-29

数学:确定性的丧失.pdf

关于数学的发展问题,其实是思维方式的发展问题。

2010-04-02

3G三大技术比较之完全版ppt

详细的针对三大移动通信技术的比较,对于想从事3G移动通信的朋友来说是难得的资料

2010-04-01

MIT Roofnet Performance

MIT Roofnet Performance, MIT Roofnet是一个领先的WMN(wireless mesh networks) testbed,在Layer 2.5 routing更是先驱。

2010-03-23

RAR Password Recovery_setup.rar

Advanced RAR Password Recovery是一个速度很快,可以帮你找回RAR文件的密码,注册后可以解开多达128位密码。它提供有预估算出密码所需要的时间;可中断计算与恢复继续前次的计算。

2010-03-18

pdf解码软件PDF Decrypter

可以对有secured字样保护或者加密的pdf文件,进行编辑或者打印

2010-03-14

APUE(Advanced Programming In The Unix Environment, Unix环境高级编程)+中文第一版+英文第二版+源码

共一个文件,文件格式为rar格式。其中包括文件列表如下: ①Advanced.Programming.In.The.Unix.Environment.(2005).2Ed,APUE英文版第二版; ②APUE.CHS,APUE中文版第二版; ③apue2e_src,APUE英文版第二版源码

2010-01-16

带通采样定理的一点说明

带通采样定理的证明和应用注意事项;有助于理解带通采样定理。

2009-12-26

图片格式转换大小调整工具.rar

很袖珍但是功能很实用的一个软件,不像PS那么大,可以装在U盘里

2009-12-23

CGI教程 经典 好东西

CGI教程 经典 好东西 CGI教程 经典 好东西

2009-12-23

COMPFILE_bin文件比较查看器

比较好用而且小巧的bin文件比较查看工具。缺点好像就是太大的bin文件就不能完整显示

2009-12-01

netscan局域网ip查看器

局域网所有机器ip查看工具。扫描局域网内各个网段的在线电脑的网卡mac地址、主机名称,进行端口扫描,可以查出开放的端口号

2009-12-01

计算机网络谢希仁第四版答案.pdf

包含文件列表: 计算机网络答案_谢希仁_第四版.pdf

2009-11-12

华为无线通信技术 .pdf

华为无线通信技术 ,通俗易懂。华为无线通信技术 .pdf

2009-11-05

gcc手册_中文版_英文版

包含文件列表: 1) gcc_中文手册 2) gcc_英文手册

2009-11-02

GNU make参考手册

包含文件内容: 1) GNU make中文手册_V3.8.pdf 2) GNU_make参考手册_中英版.chm

2009-11-02

uClinux源代码中Make文件完全解析.pdf

uClinux源代码中Make文件完全解析,对于uClinux下Makefile的理解与编写有很好的指导作用。

2009-11-02

操作系统概念_Operating_System_Concepts_中文版_英文版_答案.part13

包含文件列表: . 第六版,是中文版的; . 第七版,是英文版的; . 系统答案(第七版),是英文版的

2009-10-25

操作系统概念_Operating_System_Concepts_中文版_英文版_答案.part1

包含文件列表: . 第六版,是中文版的; . 第七版,是英文版的; . 系统答案(第七版),是英文版的

2009-10-25

Asking the Right Questions, A Guide to Critical Thinking, 8th Ed

Asking the Right Questions, A Guide to Critical Thinking, 8th Ed

2009-10-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除