- 博客(10)
- 收藏
- 关注
原创 同步异步FIFO相关问题
1.首先了解一下什么是控制交互信号?答:控制交互信号用于作为控制指示信号,比如当某个电路模块有数据输入端口data,但是电路不可能每个时钟周期都对端口输入的数据做处理,那一般上一级电路会同时给出一个指示信号,比如in_valid,用于表示当前端口data输入的数据是有效的,电路需要对其进行采集或做运算处理。同样的对于电路模块输出数据时,也需要给出类似的信号,表明当前输出端口的数据是否有效,例如o_valid信号等。还有就是比如像FIFO,RAM的读写使能信号,用于控制FIFO,RAM是否需要正...
2022-05-18 20:36:56 696
原创 SV重点知识回顾
1.virtual interface和interface的区别2.验证的完备性,覆盖率3.随机化的打开和关闭4.mailbox的作用5.静态变量与动态变量6.rand和randc的区别7.画出验证框架8.异步fifo同时读写是如何实现的:分为单口ram和双口ram9.fork...join 、fork...join_any、fork...join_none以及如何关闭。disable10.代码覆盖率包括哪些11.类型转换的关键词:$cast12.sv中虚方法的作
2022-02-25 17:33:06 888
原创 uvm覆盖方法,覆盖实例
module factory_override import uvm_pkg::*; `include "uvm_macros.svh"; class comp1 extends uvm_component; `uvm_component_utils(comp1) function new(string name = "comp1",uvm_component parent = null ); super..
2022-02-25 15:46:46 442
原创 UVM工厂机制(component和object)
1.注册uvm_component类class comp1 extend uvm_component; //继承 `uvm_component_utils(comp1) //注册 function new(string name = "comp1", uvm_component parent = null) //创建new函数 super.new(name,parent); //继承父类new函数 $display($sformatf(
2022-02-25 09:52:36 349
空空如也
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人