自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

不务正业的猿

酸甜苦辣的人生

  • 博客(1)
  • 资源 (49)
  • 收藏
  • 关注

原创 初识hadoop

1        hadoop是什么? hadoop这个名词对于IT界人员来说,一点也不陌生,早在2004年,已实现了HDFS和MapReduce核心功能。2006年,Apache Hadoop项目正式启动。我们可以从官网(http://hadoop.apache.org/)查看Hadoop的最原始的概念描述。 What Is Apache Hadoop? The Apache™ Hadoo

2018-01-27 10:34:29 385

EtherCAT研发产品

技术亮点 – 以太网直达端子模块—具有完全连续性 – 以太网过程接口可从 1 位扩展到 64KB – 首款真正的、用于现场层的以太网解决方案 – 精准的计时,适合时间同步 性能 – 12μs 内处理 256 个数字量 I/O – 30μs 内处理 1,000 个数字量 I/O – 50μs 内处理 200 个模拟量 I/O(16 位), 采样率为 20kHz – 每 100μs 处理 100 个伺服轴 – 350μs 内处理 12,000 个数字量 I/O 拓扑结构 – 总线型、树型或星型拓扑结构 – 一个系统内最多可容纳 65,535 台设备 – 系统规模:近乎无限(> 500 km) – 有无交换机均可运行 – 经济高效的电缆敷设:工业以太网电缆(CAT 5) – 双绞线电缆物理层: – Ethernet 100 BASE-TX,两个设备之间最大距离为 100 m – 或者:光缆,两个从站之间的最大距离为 20 km – 支持总线网段的热插拔 地址空间 – 整个网络范围内的过程映像:4 Gbyte – 设备过程映像:1 位至 64 kbyte – 地址分配:可自由配置 – 设备地址选择:通过软件自动进行 成本优势 – 无需再进行网络调整:降低工程成本 – 使用软件主站实现硬实时功能:无需插卡 – 无需主动式基础架构组件(交换机等) – EtherCAT 所使用的以太网电缆和连接器成本低于传统现场 总线所使用的电缆和连接器 – EtherCAT 直达 I/O 端子模块:无需复杂的总线耦合器 – 高度集成的 EtherCAT 从站控制器,因此接口成本较低 协议 – 直接内置于以太网帧内的优化协议 – 完全由硬件实现 – 用于路由和套接字接口:UDP 数据报文 – 传输过程中处理 – 用于精确同步的分布时钟 – 时间戳数据类型,用于纳秒级的分辨率 – 用于高分辨率测量的超采样数据类型 诊断 – 断点检测 – 连续的“线路质量”测量能够精确定位传输故障 – 拓扑视图 接口 – 用于标准以太网设备的交换机端子模块 – 用于现场总线设备的现场总线端子模块 – 分布式串行接口 – 通信网关 – 连接至其他 EtherCAT 系统的网关

2014-03-11

EtherCAT宣传册中文版

3 总线系统也许并不意味着所有 … 4 EtherCAT 技术概览 6 EtherCAT 技术协会 8 为何选用 EtherCAT? 10 EtherCAT 技术详解 10 EtherCAT: 基于以太网技术 11 EtherCAT 如何工作? 12 EtherCAT 协议 14 灵活的拓扑结构 16 用于高精度同步的分布式时钟 18 诊断和错误定位 19 高可用性需求 20 EtherCAT 系统概览 22 Safety over EtherCAT 确保安全数据传输 24 应用层通信协议 26 基于 EtherCAT 自动化协议的厂级通信(EAP) 29 集成其他总线系统 30 实施 EtherCAT 接口 32 实施主站设备 34 实施从站设备 36 一致性测试及认证

2014-03-04

如何从现场总线升级到EtherCAT_中文

从传统现场总线升级到 EtherCAT - 控制架构 - 建立和配置工作 - 成本 - 升级过渡 - 小结 传统现场总线性能导致的分散式控制架构

2014-03-01

未经修改的以太网协议不适用于工业自动化领域_英文

未经修改的以太网(Ethernet )应用于工业自动化 在自动化领域应用以太网(Ethernet )的优点 以太网(Ethernet )的特征 综述 以太网(Ethernet )替换现场总线的限制因素

2014-02-27

未经修改的以太网协议不适用于工业自动化领域_中文

未经修改的以太网(Ethernet )应用于工业自动化 在自动化领域应用以太网(Ethernet )的优点 以太网(Ethernet )的特征 综述 以太网(Ethernet )替换现场总线的限制因素

2014-02-27

基于ET1100的EtherCAT实时工业以太网从站设计

工业以太网技术不断提高的性能和 迅速阔氏的成本, 工业以太网成为当前 的技术热点∀Edle1CAT(E1吮 me tfor Con- trO IAuto mation Technology )是技术德国 BEC KllOF (倍福)公司提出的实时工业 以太网技术, 它基于标准的以太网技 术, 具有灵活的网络拓扑结构, 支持星 形#线性#树形等几乎所有的网络拓扑 结构川∀EtheICAT系统配置简单, 具有 高速#高有效数据率等特点, 其有效数 据利用率达到如%以上, 全双工特性得 以完全利用121∀20 5年2月, EtheICAT 通过% % IEC会员投票表决, 正式成为 IEC规范一一司王CIPAS624() 7, 国际标准 组织(150)已将Ethe 汇AT纳入15015745 标准

2014-01-09

32.768K晶振

多种晶振介绍,封装图,利于大家画PCB,查找元器件

2013-11-29

Java Develop shujuku

数据库 商品管理 订单管理 销售单管理客户信息管理 MYSOL JAVA 源代码-Database Commodity Management Order Management Sales Order Management Customer Information Management MYSOL JAVA source code

2013-05-24

STL-source-code-analysis

侯捷翻译的STL源码剖析电子完全版,不是网上流传的只有四章的电子版,学习STL必备利器。-Hou Jie translation STL source code analysis electronic full version, not the spread of the Internet is only four chapters of the electronic version of the STL essential learning tool.

2013-05-24

Optical-simulation

高等光学基础仿真matlab源文件,包含近百个基础M文件-Advanced Optics based simulation matlab source file that contains nearly a hundred basis M-files

2013-05-24

RTC_stm32_usart_key

stm32实时时钟rtc,通过彩屏s6d1121和串口通信显示数据,有按键检测功能,通过按键可以重置rtc,计时清零等。-stm32 real-time clock rtc, serial communication through color s6d1121 and display data, there are key detection function can be reset by key rtc, timer is cleared and so on.

2013-05-24

instantaneous-power

学习APF过程中的读书笔记和SIMULINK仿真模型(瞬时功率理论)-APF process of learning reading notes and SIMULINK simulation model (Instantaneous Power Theory)

2013-05-24

PIC18F455-C-C++

PIC18F4550开发USB,C语言,很经典-The the PIC18F4550 development of USB C language, it is classic

2013-05-14

donuts-JavaScript

一个简单的实现卖蛋糕的页面,适合JavaScript的初学者用于练习-A simple implementation sell cake page for JavaScript beginners for practice

2013-05-14

vbnet-10-8

简单的绘图程序,可以点击按钮绘出对称的几何图形,也可以手动绘图-Simple drawing program, you can click the button to draw a symmetrical geometry, you can manually drawing

2013-05-14

PHPjiemi-Compiler program

PHP 最新的解密工具 用于各种PHP密码解除 破解等-PHP decryption tool for a variety of PHP password to lift the crack

2013-05-14

xapp199 module testbench

writing efficient testbenches `timescale 1 ns/1 ps module testbench; reg clock; reg load; reg reset; wire [4:0] shiftreg; reg [4:0] data; reg [1:0] sel; shift_reg u1 ( .clock (clock), .load (load), .reset (reset), .shiftreg (shiftreg), .data (data), .sel (sel)); initial begin clock = 0; forever #50 clock = ~clock; end initial begin reset = 1; data = 5'b00000; load = 0; sel = 2'b00; #200 reset = 0; load = 1; #200 data = 5'b00001; #100 sel = 2'b01; load = 0; #200 sel = 2'b10; #1000 $stop; // #1000 $finish; end endmodule

2013-05-13

360SafeDemo

flash控件,duilib中的flash控件的演示,一个小的demo-flash control, duilib the flash demo of the control, a small demo

2013-05-13

Setup-Android-SOP

主要介绍了安卓手机开发相关环境备置,很有� 茫苡杏 茫�-Android mobile phone development related environmental equipment set, very useful, very useful, very useful, very useful

2013-05-13

JDBC-Excel-import-to-java

java转换为excel格式导出源码,只要按照文档所说建好个各类,倒入需要的包,就可以运行了-java convert excel format export source, built all kinds, pour package in accordance with the document said, you can run

2013-05-13

OS_TASK.C(API)

任务管理OS_TASK.C(API) 时钟管理OS_TIME.C(API) 信号量 OS_SEM.C(API)-The task management OS_TASK.C (API) the clock management OS_TIME.C (API) the semaphore OS_SEM.C (API)

2013-05-13

mainlqrmatlab

matlab编的lqr控制三层结构的在地震作用下的响应-matlab series LQR control the response of the three-tier structure under seismic action

2013-05-13

opencv-intro

开发网站上opencv的基本介绍,主要针对一些基本的认识-Opencv a basic introduction on the development site, mainly for some basic understanding of

2013-05-10

androidwcf

实现了飞思卡尔K60评估板的UCOSIII的移植工作,并利用UC系统的多任务机制,实现了多个LED的测试。-Realized the Freescale K60 evaluation board UCOSIII of the transplant, and use of the UC system' s multitasking mechanism to achieve the multiple LED test.

2013-05-10

android C#开发

ndroid C#开发WCF 相互通讯。-Android C# development WCF to communicate with each other.

2013-05-10

美的电磁炉标准通用板原理及故障维修

美的电磁炉05年标准通用板(MC-IH-M00、MC-IH-M01、MC-IH-M02),是在美的电磁炉04年通用板(YKPSY195-M)基础上改进的,其电路控制原理基本与04年是一致的。

2013-05-10

基于凌阳SPCE061A的简易语音计算器

基于凌阳SPCEO61A的简易语音计算器 文章介绍了凌阳SPCE061A的芯片特点及其语音特色,并以凌阳SPCE061A为控制核 心,设计制作一款带有语音的简易计算器的硬件结构和软件实现。经过测试,该计算器语音 播报清晰。

2013-05-10

电流检测电路

功率开关电路的电路拓扑分为电流模式控制和电压模式控制。电流模式控制具有动态反应快、补偿电 路简化、增益带宽大、输出电感小、易于均流等优点,因而取得越来越广泛的应用。而在电流模式的控制 电路中,需要准确、高效地测量电流值,故电流检测电路的实现就成为一个重要的问题。

2013-05-10

遗传算法程序

遗传算法和神经网络在游戏地图寻路中的运用-遗传算法主程序

2012-10-31

电子琴实验

电子琴实验利用试验仪上的按键作为电子琴按键,控制蜂鸣器发声

2012-10-31

序列信号发生器的设计

EDA技术及其应用《序列信号发生器的设计》,包括源文件。-EDA technology and its applications " sequence signal generator design, including source files

2012-10-31

软考中级soft

软考中级,嵌入式系统设计工程师的的的的考试大纲

2012-10-23

开发帮助文档

jQuery1.7的中文开发帮助文档,使用方便快捷-jQuery1.7

2012-10-22

C语言难点及分析

这篇文章主要是介绍一些在复习C 语言的过程中笔者个人认为比较重点的地方,较好的掌握这 些重点会使对C 的运用更加得心应手。此外会包括一些细节、易错的地方。涉及的主要内容包 括:变量的作用域和存储类别、函数、数组、字符串、指针、文件、链表等。一些最基本的概念 在此就不多作解释了,仅希望能有只言片语给同是C语言初学者的学习和上机过程提供一点点 的帮助。

2012-10-18

嵌入式程序员必须知道的16个问题

嵌入式程序员必须知道的16个问题,了解这些问题有助于提高自己的专业知识

2012-10-18

C程序设计语言

适合C语言初学者,让他们更容易掌握C语言的精髓

2012-10-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除