如何配置一个强大的sublime编辑器

相比于2,Sublime Text 3就秒启动一项,就压倒性地胜利了。因此在之后的叙述中都以Sublime Text 3为主角。并且3一直在不断的完善更新,具体的差异可参看 Sublime Blog .简单的说:

  • ST3支持在项目目录里面寻找变量
  • 提供了对标签页更好地支持(更多的命令和快捷键)
  • 加快了程序运行的速度
  • 更新了API,使用Python3.3

强烈推荐朋友们使用3! 唯快不破,不解释。

优雅使用Sublime Text,插件则是不可缺少的存在;而插件的备份就显得非常的重要(譬如:各平台同步;更换系统/电脑,迅速使用已安装的插件)。这事儿也很简单,只需将PackagesPreferences > Browse Packages)中内容拷贝一份,同步云端即可;至于存储何处,云盘,Github,皆无不可;譬如先前有存一份于sublime_packages,每有所需只要 Down 下来,替换掉原Packages下内容就好。

Sublime Text 3安装插件


Sublime Text的强大就是她拥有强大的课可扩展性。您可根据自己的需要安装不同的插件;这使得她变的无比强大的同时又不失轻便。

  • 插件安装方式一:直接安装:

    安装Sublime text 3插件很方便,可以直接下载安装包解压缩到Packages目录(菜单->preferences->packages)。

  • 插件安装方式二:使用Package Control组件安装:

    按 Ctrl+` 调出console(注:安装有QQ输入法的这个快捷键会有冲突的,输入法属性设置-输入法管理-取消热键切换至QQ拼音)粘贴以下代码到底部命令行并回车:

            
            
            
    1
            
            
            
    import urllib.request,os; pf = 'Package Control.sublime-package'; ipp = sublime.installed_packages_path(); urllib.request.install_opener( urllib.request.build_opener( urllib.request.ProxyHandler()) ); open(os.path.join(ipp, pf), 'wb').write(urllib.request.urlopen( 'http://sublime.wbond.net/' + pf.replace( ' ', '%20')).read())

重启Sublime Text 3。如果在Perferences->package settings中看到package control这一项,则安装成功。按下Ctrl+Shift+P调出命令面板输入install 调出 Install Package 选项并回车,然后在列表中选中要安装的插件。

PS:国内使用SublimeText3,经常可能遇到无法安装可用插件问题,可remove掉Package Control重新安装下;如遇到连Package Control也无法安装,则可以在别处拷贝一份关于Package Control的文件-(Package Control.sublime-package)存放于Installed Packages目录之下即可。

如果如上述操作,还是不能正确安装,并且在 sublime 的 console 安装 package control 出现 275309 错误,那么去打开 Preferences->Settings 找到 ignored_packages 配置选项,删除其中对 Package Control 的约束即可(update@16-12-06)。

Sublime Text 3插件推荐


无插件,不神器! 根据自己的需要定制属于自己的强大插件集;下面是一些常用的推荐。
Sublime Text3插件:增强篇
20个强大的SublimeText插件
作为在移动端旧游之后,又Web端新游的自己,墙裂推荐以下这么几款插件:

</

  • 0
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在Vivado中使用Sublime作为编辑器可以提高编写Verilog的效率。首先,你需要安装Sublime Text 3编辑器。然后,进行Vivado的配置配置步骤如下: 1. 打开Vivado,点击【Tools】、【Settings】。 2. 在弹出的设置界面中,点击【Text Editor】。 3. 在右边的方框内选择【Custom Editor】,然后点击选择框后面的三个点。 4. 弹出一个窗口,将你的Sublime编辑器的安装路径填入方框内。在路径的末尾加上"[file name]:[line number]",这是一个附加项,不需要删除。点击OK保存设置。 这样,你就完成了Vivado与Sublime配置。现在,当你在Vivado中打开一个.v文件时,Sublime将会被启动,并且你可以在Sublime中编辑Verilog代码。同时,你可以通过安装Verilog插件来获得更好的代码高亮等功能。 参考文献: http://blog.csdn.net/husipeng86/article/details/52357393 https://kavingaupul.wordpress.com/2014/06/01/select-the-custom-editor-for-verilog-in-xilinx-as-sublime-text/ http://sv-doc.readthedocs.io/en/latest/<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [在Vivado中使用Sublime 编辑器](https://blog.csdn.net/weixin_44012359/article/details/118190371)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [修改Vivado的文本编辑器Sublime Text 3](https://blog.csdn.net/fk1174/article/details/53105697)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值