自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 资源 (12)
  • 收藏
  • 关注

原创 地心惯性坐标系

地心惯性坐标系是原点在地心,ox轴过0经线与赤道焦点,oy轴过90经线与赤道交点,oz轴指向北极星,如果地心惯性坐标系中某点为(x,y,z),既然两个坐标系重合就好办了,在高数极坐标转换中有,地球上某点,的x等于半径乘以纬度的余弦再乘以经度的余弦,y等于半径乘以维度的余弦再乘以经度的正弦,z等于半径乘以维度的正弦。x=r*cos(n)*con(m)y=r*cos(n)*

2012-05-16 19:50:13 20866 2

一:源码框架专题.zip

图灵3期spring 源码解析

2022-01-09

Visual_Assist_X_10.8

vc助手 Visual assist的2013.09.20发行的最新2001版本的补丁和原版的安装文件。下载的压缩包内提供了原版的安装文件和破解补丁,及readme,相关blog介绍。

2013-10-28

iPhone开发秘籍---第二版.zip

iPhone开发秘籍---第二版.zip part2

2013-07-03

iPhone开发秘籍---第二版

iPhone开发秘籍---第二版

2013-07-02

锋利的jQuery(第二版)

锋利的jQuery(第二版)

2013-06-22

Android+3D游戏开发技术宝典+OpenGL+ES+2.0.part2

Android+3D游戏开发技术宝典+OpenGL+ES+2.0.part2

2013-06-09

Android+3D游戏开发技术宝典OpenGL-ES

Android+3D游戏开发技术宝典OpenGL-ES

2013-06-09

计算机网络高级软件编程技术(第二版)

计算机网络高级软件编程技术(第二版)

2013-06-09

从实践中学ARM体系结构与接口技术

从实践中学ARM体系结构与接口技术

2013-05-30

从实践中学嵌入式Linux操作系统

从实践中学嵌入式Linux操作系统,华清远见

2013-05-30

cocos2d-x手机游戏开发 pdf

cocos2d-x手机游戏开发:跨ios、android和沃phone平台完整版_高清扫面

2013-03-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除