Emacs Org Mode学习

在学习当中不定期更新

进入org模式
  • 按E-x
  • 输入 org-mode
标题
  • | * 一级标题
  • | ** 二级标题
  • | * ** 三级标题

E-enter 自动添加下一个同级标题

  • C-c C-n 移动到下一标题
  • C-c C-p 移动到上一标题
  • C-c C-f 移动到下一同级标题
  • C-c C-b 移动到上一同级标题
  • tab 用于折叠与展开标题
  • -、 +、 1.、 1、 列表,tab可以切换列表的等级
  • shift+ 左箭头/右箭头 切换同级列表的样式
表格
  • 按|然后输入内容,即可生成第一行第一列的内容
  • 再按|就会自动生成第一行第二列的,写入内容
  • 若第一行的所有列添加完毕,按tab即可生成第二行的所有列,光标停留在第二行第一列等待输入
  • 表格没有对齐,移动到此表格,按tab即可自动调整列的长度
  • 在第一行的某一列输入<6>,即可将所有的列宽设置为6,超过的部分会以=>的形式显示
  • 在表格的顶头,按|-即可对表格进行封闭
  • 表格当中可以计算
  • tab 移动至下一个单元格
  • shift+tab 移动至上一个单元格
  • C-n 移动至上一行
  • C-p 移动至下一行
  • M+左箭头:当前列向左移动
  • M+右箭头:当前列向右移动
  • M+S+左箭头:删除列(S为shift)
  • M+S+右箭头ÿ
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Emacs Verilog Mode是一种用于在Emacs编辑器中编辑Verilog HDL(Hardware Description Language)的模式。它提供了丰富的功能和快捷键,使Verilog代码的编写和调试更加方便和高效。 Emacs Verilog Mode具有以下主要特点: 1. 语法高亮显示:Verilog代码的不同部分会使用不同的颜色进行区分,以便更容易地阅读和理解代码。 2. 自动缩进:在编写代码时,程序会自动进行缩进,以便更清晰地展示代码的层次结构。 3. 代码折叠:Emacs Verilog Mode允许代码的折叠和展开,以隐藏或显示特定的代码块。这样可以更好地组织和查看大型的Verilog项目。 4. 代码模板:模板功能使得可以快速插入常用的Verilog代码片段,例如模块定义、寄存器定义等,提高代码的编写速度和一致性。 5. 代码跳转:通过快捷键可以方便地在模块之间进行跳转,快速浏览和编辑代码。 6. 语法检查和自动补全:Emacs Verilog Mode可以检查代码中的语法错误,并提供自动补全功能,减少拼写错误和编程失误。 7. 特定的功能块标记:针对Verilog HDL特有的结构和语法,Emacs Verilog Mode提供了特定的功能块标记,如module、always、if-else语句等,以便更好地识别和编辑这些代码块。 总之,Emacs Verilog Mode是一个强大而实用的工具,它简化了Verilog代码的编辑过程,提高了工作效率和代码质量。无论是进行硬件设计还是进行FPGA编程,使用Emacs Verilog Mode都能够帮助开发人员更好地完成任务。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值