自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(4)
  • 收藏
  • 关注

原创 fpga学习笔记(6)-模块结构

模块结构---明德扬学习

2023-03-06 20:08:02 367

原创 fpga学习笔记(5)-信号类型

信号类型,reg or wire

2023-03-06 15:22:44 865

转载 FPGA学习笔记(2)-数字电路基础-逻辑代数和逻辑门

逻辑代数与逻辑门

2023-03-05 18:20:11 143

原创 FPGA学习笔记(1)二进制、十进制、十六进制转换

二进制 十进制 十六进制转换

2020-03-22 23:06:12 1810

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除