自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(32)
  • 资源 (2)
  • 收藏
  • 关注

原创 【总结】浅谈深度学习算法与硬件协同优化

本文总结了笔者本科期间关于深度神经网络算法与硬件协同优化的思路和常用方法,希望能够给入门此方向的同学带来一定的启发。笔者学疏才浅,如有问题欢迎私信或评论区讨论交流!

2024-01-15 14:41:35 1005

原创 【Vivado HLS Bug】Ubuntu环境下Vivado HLS导出IP报错:HLS ERROR: [IMPL 213-28]

HLS ERROR: [IMPL 213-28] Failed to generate IP.

2023-10-13 15:52:17 798

原创 【安徽省机器人大赛单片机与嵌入式赛道竞赛】C平台作品

安徽省机器人大赛单片机与嵌入式赛道竞赛分为多个赛道,笔者参加C赛道使用A7系列FPGA进行比赛。比赛分为两个部分,上午8:00-12:00,参赛队员需要根据现场收到的赛题进行Coding,下午1:00左右评委老师验收打分。由于是现场根据题目比赛(有点像考试),所以要拿到好成绩的话需要做好充足的准备,且因为行程紧张(大学生特种兵式比赛),保持良好的精神状态是充分发挥的重要前提。

2023-05-22 10:06:45 6412 5

原创 【AHB总线协议】主机接口的Verilog实现

主机AHB_Master采用了三个状态:空闲IDLE、请求REQ、发送SEND来完成一次八位增量突发。当AHB_Master处于IDLE空闲状态时,如果使能信号en有效则进入REQ向仲裁器请求发起传输,否则留在IDLE状态;当AHB_Master处于REQ请求状态时,当HGRANT授权信号有效,则进入发送状态,若HGRANT无效或者en信号失效的话分别进入REQ或者IDLE状态;

2023-05-07 11:47:26 2127

原创 【AHB接口协议】固定优先级和轮询仲裁器的Verilog实现

目录学习并掌握基本的AHB总线传输协议;使用Verilog HDL语言对AHB仲裁器模块进行设计,并满足正常的时序要求,体会轮询仲裁相对于固定优先级仲裁的优越性;掌握Modelsim仿真工具的使用。实验要求自选仲裁算法进行仲裁器的设计,而常见的额优先级仲裁算法有:固定优先级算法(FP,即Fixed Priority)、轮询优先级算法(RR,即Round Robin)。顾名思义,固定优先级算法就是所有主机的优先级都是固定的,优先级较低的主机只有在优先级较高的主机未请求使用总线的情况下才可以使用总线;

2023-05-07 11:40:18 2320 1

原创 【AHB总线协议】从机接口的Verilog实现

设计了一个AHB从机接口模块,提供AHB总线到从机Memory的接口

2023-05-07 11:31:22 2862 1

原创 【Verilog设计】8位CPU

设计了一个单核顺序执行支持16条指令的简易8位CPU,无中断和异常处理、无流水线并行、无乱序执行等高效计算技术。该处理器支持内存读写、寄存器读写、跳转指令及常见的算数、逻辑运算,片上集成了16Byte的寄存器组,可拓展为GPIO用于控制常见的外设。

2023-05-07 11:13:29 1627 1

原创 【IC基础】集成电路设计领域术语缩写及名词解释(字母索引版)

笔者在大三上学习学习《SoC设计导论》时整理的有关集成电路设计领域的常见有英文缩写和对应的名词解释,文中标注的页码均出自《SoC设计方法与实现》这本参考书

2023-04-12 17:21:13 3275

原创 【Python脚本】根据卷积和输入张量尺度来计算输出张量尺度

要在FPGA平台上部署CNN加速器的时候,需要根据神经网络每一层卷积的尺度、padding和stride大小来计算输出feature map的尺寸,从而分配合适的存储资源。但是当神经网络规模过大的时候手动计算的方法往往不切实际,因此写了一个脚本来辅助。

2023-03-27 22:54:26 316

原创 性能优化:每一个卷积层的计算耗时Pytorch

【代码】性能优化:每一个卷积层的计算耗时Pytorch。

2023-03-02 11:15:45 782

原创 FPGA驱动0.96寸OLED(SSD1306)

FPGA实现SPI写模式(用于SSD1603的0.91寸OLED驱动)_LionelZhao的博客-CSDN博客。

2023-01-16 21:01:18 4036 6

原创 FPGA实现SPI写模式(用于SSD1603的0.91寸OLED驱动)

SPI是嵌入式领域常见的高速同步全双工串行总线,本文介绍使用Verilog实现的SPI主机写模式的驱动,可用于SSD1306芯片的驱动。

2023-01-04 20:14:27 822

翻译 神经网络压缩加速之知识蒸馏综述

近年来,深度神经网络在工业界和学术界都获得了成功,特别是在计算机视觉任务方面。深度学习的巨大成功主要是由于它的可扩展性,可以对大规模数据进行编码并操纵数十亿的模型参数。然而,在资源有限的设备(如手机和嵌入式设备)上部署这些繁琐的深度模型是一个挑战,这不仅是因为计算复杂度高,而且还需要大量存储。为此,各种模型压缩和加速技术已经被开发出来。作为模型压缩和加速的一个代表类型,知识提炼法可以有效地从一个大的教师模型中学习一个小的学生模型。它已经得到了社会各界快速增长的关注。

2022-12-27 18:41:44 1097

原创 《VLSI仿真与验证》课程实验:TinyCore交叉编译验证思路

本实验是对一个基于RISC-V指令集的CPU核fwrisc进行功能验证。在鲲鲲的《Verilog语言与FPGA实现》的课程实验中我们已经写过一个只有5条指令的8位CPU,当时在编写测试平台的时候花时间思考编写存储在ROM中程序对应的机器码耗费了我大量的时间,我当时是采用人脑编译器的方式来直接编写机器码,这种方式极其容易出错导致程序崩溃且低效,本次实验通过汇编程序交叉编译的方式解决了当时困扰我的问题。 首先编写基于RISC-V RV32I的.S汇编程序,然后使用交叉编译器将其转换为.obj

2022-12-24 11:30:13 743 2

原创 FPGA实现UART通讯(FSM+移位寄存器实现 含校验位)

串口(UART)协议的发送和接收时序、物理层接口下面两篇博客讲的很详细。这里我主要参考的是博主孤独的单刀的代码,虽然博主写的系列文章都很不错而且基础理论的讲解也很详细到位,但是博主并没有使用状态机的方式实现,并且使用case来产生uart_txd输出和串转并得到输入的语句会综合出一个很大的多路选择器,而同样的功能可是使用移位寄存器来实现,这就会造成资源不必要的浪费。。

2022-12-24 11:14:04 1345

原创 工业界常用嵌入式/移动端AI计算平台调研

神经网络模型被广泛应用在图像分类、物体检测、目标跟踪等计算机视觉任务中,并取得了巨大成功。随着时代发展,人们更加关注深度神经网络的实际应用性能,人工智能技术的一个趋势是在边缘计算平台上部署高性能的神经网络模型,并能在真实场景中实时运行,如移动端/嵌入式设备。这些平台的特点是内存资源少,处理器性能不高,功耗受限,这使得目前精度最高的模型根本无法在这些平台进行部署和达到实时运行。由于内存空间和算力资源的限制,神经网络在移动设备和嵌入式设备上的存储与计算仍然是一个巨大的挑战。

2022-12-02 20:15:13 1706

原创 FPGA虚拟三阶魔方(HDMI显示版)

使用Verilog对三阶魔方建模,在FPGA实现并通过HDMI显示到显示器上,通过按键可以控制魔方的各种旋转操作。

2022-12-01 22:56:56 888 1

原创 3部联动19层电梯控制器的验证计划

笔者大三上学习的《VLSI仿真与验证》课程的大作业,验证方法学可真的太难了!需要缜密的思维考虑几乎所有可能的情况,笔者学疏才浅,如有不正确的地方请批评指正。

2022-12-01 20:01:50 525 1

原创 《Fast Human Pose Estimation》论文总结

《Fast Human Pose Estimation》阅读总结

2022-10-22 19:01:42 934

原创 模256计数器的路径验证

一个带复位和置位的模256计数器的路径验证方案。以最少的冗余周期数来实现完整路径的覆盖。

2022-10-07 09:13:37 1295

原创 《A CMOS Time-to-Digital Converter With BetterThan 10ps Single-Shot Precision》论文阅读

设计了一种高精度CMOS时间-数字转换器IC。时间间隔测量基于计数器和由稳定延迟线实现的两级插值。延迟线中的参考循环改善了插值器的积分非线性,并允许使用低频参考时钟。多级插值减少了延迟元件和寄存器的数量,并降低了功耗。延迟线中的负载电容器缩放并联结构允许非常高的分辨率。INL查找表减少了剩余非线性的影响。数字化电路以8.1 ps rms单次激发精度测量从0到204 s的时间间隔。5MHz外部参考时钟的分辨率为12.2 ps,仅通过20个延迟元件进行划分。......

2022-08-10 22:22:08 1278 2

原创 《A Gift from Knowledge Distillation:Fast Optimization, Network Minimization and Transfer Learning》阅读

《A Gift from Knowledge Distillation :Fast Optimization, Network Minimization and Transfer Learning》这篇文章首次提出了基于relation的知识蒸馏,有授人以鱼不如授人以渔的思想。

2022-08-10 21:17:01 572 1

原创 《FITNETS: HINTS FOR THIN DEEP NETS》论文整理

《FITNETS: HINTS FOR THIN DEEP NETS》首次提出了基于feature的知识,使用hint-based training的方法训练了效果不错的fitnet。

2022-08-10 19:49:33 3433 5

原创 《Distilling the Knowledge in a Neural Network》论文总结

本篇《Distilling the Knowledge in a Neural Network》是知识蒸馏的开山之作,Hinton老爷子等人为模型压缩和加速开创了一条光明大道。

2022-08-10 19:20:41 1578

原创 基于Basys2的八位CPU的设计与FPGA实现

极其简易的8位5指令CPU的设计

2022-07-20 13:28:02 1290

原创 基于Basys2的双序列检测器的设计(111000和101110)

111000-101110双序列检测器设计与仿真

2022-07-20 12:34:17 1012

原创 基于Basys2的分秒计时器的设计

本次设计是鲲鲲布置的《Verilog与FPGA实现》课程实验中的计时器的设计

2022-07-20 12:12:28 1174

原创 基于Basys2的Booth乘法器的设计

基于Basys2的Booth乘法器的设计

2022-07-20 10:11:14 725

原创 《数字电子电路》 课程设计:十字路口红绿灯自动控制系统(下)(multisim仿真及PCB实现)

同(上)篇

2022-07-19 10:10:13 6543 17

原创 《数字电子电路》 课程设计:十字路口红绿灯自动控制系统(上)(multisim仿真及PCB实现)

笔者对大二下进行的《数字电子线路》课程设计的课题:十字路口红绿灯自动控制系统 进行分享

2022-07-19 09:57:07 19311 4

原创 基于Basys2的BCD码加法器的设计

BCD码加法器设计

2022-07-18 12:18:34 1138

原创 基于Basys2的数码管动态扫描module(verilog)的模块化设计

本系列博客选题于鲲鲲的《Verilog与FPGA实现》这门课程的课程实验,希望能对大家有所帮助。

2022-07-17 19:08:20 2337 1

FPGA虚拟魔方(HDMI显示)

使用ZYBO开发板在ZYNQ上实现了一个三阶虚拟魔方,可以通过按键来控制魔方的旋转,并通过HDMI接口显示在显示器上。

2022-12-02

AD20常用元器件的库(原理图、PCB库)

AD20库(超级全面)

2022-08-03

FPGA开发板:Basys2、Basys3的手册

非常全面的FPGA开发板:Basys2、Basys3的手册以及使用教程

2022-07-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除