自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

路科验证

专注于芯片验证工程领域并且提供IC验证培训。

  • 博客(90)
  • 收藏
  • 关注

转载 形式验证如何节省数字IP设计的时间

众所周知,在数字IP设计以及SoC设计中,验证任务迫在眉睫。目标是使RTL代码和功能覆盖率都达到100%,并最大程度地减少获得它的时间。使用最广泛的方法是基于通用验证方法(Universal Verification Methodology,UVM)的随机约束测试(System Verilog或e语言),它能够在相对较短的时间内构造复杂的测试,同时强调RTL代码并跟踪功能覆盖范围。一些验证工程师还使用形式化的方法来验证模块的专用部分,例如标准接口,从而完成IP验证。本文将介绍一种基于形式...

2021-03-19 15:13:34 1168 1

转载 基于形式化的方法缩短数字设计IP的验证时间

当我们谈论数字IP的sign-off时,我们指的是对模块的完整验证。 设备数据表中列出的每个功能都需要验证。 此外,每条RTL语句描述,分支和表达式也需要验证。 仅当功能(特点检查)和RTL代码覆盖率都达到100%时,IP才被signed-off。 为此,我们可以考虑两种不同的模式来实现目标:通用验证方法(UVM)方法(经典方法)和基于形式化的方法(新方法)。 本文将介绍基于形式化的方法是如何显著减少验证时间的。经典的方法多年来,我们一直使用UVM+SV 或者UVM+e语言的方...

2021-03-19 15:11:45 599

转载 什么是定制化处理器?

定义已更改,因此将影响设计过程。在最新的周期性发展热潮的刺激下,半导体行业进入了定制处理器的新黄金时代,但是这次“定制处理器”意味着不同的东西。上一个时代,每家主要的半导体公司都拥有内部处理器:SuperH,PowerPC,V800,Alpha,MEP,Trimedia等,其中一些在特定领域比其他领域更加专业。但是,行业整合和维护专有架构的巨额花费导致其中的许多都逐渐消失,整个行业进入了“标准架构”的漫长时期,而定制处理器填补了诸如音频处理等应用领域的空白。“在过去的...

2021-03-19 15:09:44 817

转载 如何通过生成命令序列来简化执行状态机?

几乎每个规模不小的设计里都包含至少一个状态机,并且通过其合法状态、状态转换以及状态转换的各种原因来行使该状态机是验证设计功能的关键。在某些情况下,我们可以简单地使用状态机作为对设计执行正常操作的副产品。在其他情况下,状态机可能非常复杂,以至于我们必须采取明确的针对性步骤来有效地行使状态机。在本文中,我们将了解inFact的系统性激励生成和生成约束感知功能覆盖范围的能力如何通过生成命令序列来简化执行状态机的过程。状态机示例本文使用的示例是LPDDR SDRAM存储器的状态机。下图...

2021-03-19 15:08:12 350

转载 为验证增加顺序和结构(下)

形式化能力某些特定技能是被需要用以支持各个组织级别。 基本上将其分为四个C(检查,约束,覆盖率和复杂性)。 覆盖图4中包含的所有细节或对每种技能类型的详细分析过于繁琐,因此仅分解那些展开讨论的内容。图4. 形式化验证工程师技能矩阵。 资料来源:Oski Technology这里先讨论ProofCore覆盖范围的适用性。 ProofCore是一种可观察的覆盖率指标,可用于确保检查集的完整且涵盖了设计的所有行为。它确定逻辑是否实际送入与检查程序关联的证明中。如果修改了...

2021-03-19 15:03:49 243

转载 为验证增加顺序和结构(上)

行业专家如何首次应对形式化能力成熟度模型。你无法改善无法度量的内容,而在方法论方面,度量的概念变得更加困难。加入组织内个人的技能,能力和经验水平的概念,这可能会影响他们采用某些技术的能力,因此需要引起足够的重视。这就是诸如能力成熟度模型(capability maturity models, CMMs)之类的概念开始发挥作用的地方。 CMM使公司可以评估其在技能和能力方面与行业内其他公司相比所处的位置,并确定可以优化的流程,以从其工程团队中获得更多价值的领域。它还可以定义与将新技术引...

2021-03-19 15:02:11 267

原创 除了这门升级中的V2Pro课程,恐怕你找不到更好的学验证的途径了

没错,想必你猜到了,V2Pro课程又一次像20秋季班一样,在开课前的2个月,就开始纳新了。元旦假期刚刚过去,不知道你给新的一年订了什么计划,而过去的一年你的学习、工作进行得是否如意。我习惯于把阳历年作为一年的起始,这有助于我日常对时间的感知,比如1年是分成12个月,而不是在过了农历年以后再开始算的话,那就只有10个半月了。工作以后,总是能觉得日子过得很快。一周五天工作(我正常作息,10-7-5),每天工作的时间都感觉不够用,专注于做事情的时候,往往几个月都想不起来做了哪些工作,只知道...

2021-01-10 21:37:15 1593 2

转载 浅谈便携式激励(PSS)和UVM

摘要:简单易懂的PSS用例科普Accellera的便携式测试和激励标准提供了强大的验证功能,这些功能并不能代替UVM,而是可以增加现有的验证流程。 这就是便携式激励和UVM相互作用的方式。在开发便携式测试和激励标准时,有关它的最常见问题之一是:它打算替代UVM吗?”答案很明确:“不!” 要追其原因,我们需要研究一下 PSS与验证工具交互的方式,为了了解Accellera便携式测试和激励(PSS)标准与UVM的不同作用,让我们考虑从1.0a版本的标准文件中获得关于PSS打算完成的...

2021-01-10 21:32:56 2874

转载 DVT| DVT在PSS中的应用

便携式激励技术是IC验证中最热门的话题之一。一些EDA供应商已经开发了相关的工具,并与Accelera Systems Initiative合作,为它们定义一个标准的输入格式。便携式激励标准(PSS)1.0版于2018年6月发布,人们对这一方法很感兴趣。现在所有相关的商业工具都支持该标准,采用速度正在加快。然而,在实际芯片设计中采用PSS并不是一件容易的事。PSS提供了两种输入格式,这两种格式都比传统的SystemVerilog约束随机测试台抽象得多。便携式激励方法令人印象深刻的功能是由输入格...

2021-01-10 21:28:43 1304 1

原创 便携式激励vs形式化vsUVM验证方法在IP块的整个生命周期中的比较分析

摘要-验证技术和方法不断发展,以应对日益严峻的验证挑战。当今行业的最新技术是基于UVM和基于形式化(Formal)的验证流程。事实证明,这两种技术都可以显著提高验证质量,但缺点是测试用例或激励不能“重复使用”。测试用例的可移植性一直是整个行业验证团队的目标。没有人愿意通过为不同的测试环境重写相同的测试来重新发明轮子。新的可移植激励标准通过一次编写测试意图,然后重新使用测试意图为不同的目标测试应用程序创建测试来解决此问题。为该标准设计的语言是C++的扩展。便携式激励模型可以与基于UVM...

2021-01-10 21:24:43 1283 1

转载 是模拟器在我的SystemVerilog代码下的行为出错了吗?

摘要:有时,System Verilog的使用者花费大量时间来调试意料之外的仿真结果。最后才发现是SystemVerilog语言参考手册(LRM,Language Reference Manual)所定义的规范与使用者所想的不同。在本文将探讨SystemVerilog使用者经常提出的一些问题。这些问题的回答将帮助SystemVerilog的使用者正确、准确地理解语言规范,从而可能节省设计人员因意外的模拟结果所花费的大量调试时间。 序言 2005年,SystemVerilog被...

2020-12-10 10:57:16 612

转载 设计验证项目中的强大功能

对于任何设计验证 (DV) 项目,遵循最佳编程规范可让团队成员的日子过得更轻松。另一方面,当代码被重用时,或者当代码从一个所有者移交给另一个所有者以完成将来的任何改进时,不良的代码风格会导致很多问题。有时,它会导致代码中的大量返工和修补程序,使代码很难在项目的后期阶段或将来需要重用的项目中得以维护。很多时候,在做设计验证项目时,需要将较大的代码段分成较小的块,以使代码更易于阅读和调试(也用于可重用的目的)。这种较小的代码片段可用于 DV 环境中的不同位置,用于多个组件/模块等。...

2020-12-10 10:55:11 493

转载 导入SystemVerilog程序包意味着什么?

在上次网络研讨会中,我解释了在SystemVerilog中导入程序包时会发生什么情况。不过,仍然有很多问题,所以这里给出了书面版本。请在你自己的系统或EDA工具上尝试这些示例。将代码剪切并粘贴到SystemVerilog文件中,进行编译和调试。犯些错误,搞得一团乱,然后从中学习(即不断试错,入坑又出坑)。如果你只是听或读了这篇,但从未运行过代码,那么这些概念将从你的脑中消失的速度比Neowise彗星更快。另一盒糖想象你正在做晚餐,需要一些糖。你会怎么做?把手伸到冰箱旁...

2020-12-10 10:51:17 356

转载 UVM和C-完美结合

摘要:SystemVerilog [1]和 UVM [2]为验证团队提供结构和规则。它使得在许多测试中能获得一致的结果,并可以在团队之间共享验证。许多验证团队都在使用由C代码编写的验证套件。本文将讨论将基于C的测试和验证套件集成到常规UVM测试平台的各种方法。 引言 本文将演示把DPI-C与标准UVM Testbench一起使用的技术和方法。 C代码将应用于底层事务生成器,高级事务生成器,记分板和监视器等模块中。 UVM测试台将同步运行-例如,UVM测试可能正在总线上传输后台流...

2020-12-10 10:49:28 4610

原创 连接UVM与混合信号设计

摘要随着混合信号SoC设计数量的增加以及相应的混合信号验证的需求,UVM作为一种解决方案被提出,即采用用于复杂数字SoC的UVM验证方法。目前存在很多混合信号的UVM验证方法,但是,都没有将UVM环境与混合信号设计连接的标准化方法。由于这些原因,有效的混合信号设计验证正变得充满挑战,并为创新性的验证方案打开了空间。本文将展示使用Verilog-AMS模型将UVM环境与混合信号设计连接的不同方法。一. 引言混合信号验证还很初级,但是随着设备变得越来越复杂,对混合信号验证的需求也在迅...

2020-11-17 18:11:32 983

翻译 DVT |自动重构

设计和验证一个大型、复杂的半导体器件都是与编码有关。设计意味着绘制大量的原理图,并使用手工指定的输入值实时进行验证,输出结果以波形形式观察的日子已经一去不复返了。当然,可能仍然有一个或两个模拟功能的示意图,并且波形在调试中仍然扮演着重要的角色。但是硬件设计、片上系统(SoC)中的嵌入式软件以及大部分验证测试平台都是编码的。一个典型的设计和验证环境使用丰富的语言组合,包括SystemVerilog、Verilog、VHDL、E和C/C++,将所有的设计和验证元素互连在一起。除了代码的功能正确性之外,.

2020-11-17 18:05:46 1345

原创 UVM交互式调试库:缩短调试周转时间

摘要:与其他硬件验证语言(Hadware Verfication Languages,HVL)(例如Specman e)不同,在System Verilog(SV)模拟中是不原生支持交互式调试功能的。在本文中,我们将介绍利用SystemVerilog直接编程接口(SVDPI)[2]实现针对UVM [1]的交互式调试库。从根本上讲,这实现了模拟运行时的高级交互式调试。该库的功能包括:1)通过UVM寄存器抽象层写入或读取寄存器,2)在任何序列发生器上创建,随机化,初始化和启动UVM序列,以及3)调...

2020-10-26 19:45:02 1669

翻译 DVT Error corrections/quick fix功能

题目:键入时纠正设计和验证编码错误摘要:很少有像大型复杂半导体器件的设计和验证那样令人畏惧的工程任务。一个设计师可能负责数百万个门,它将重用、适应和从头开始编码的逻辑。大多数大型设备都是SoC设计,在硬件和软件之间具有深层的嵌入式交互。验证工程师需要了解设计、嵌入式代码、测试台、底层生产软件,甚至可能是一些最终应用程序。在这种复杂性背后,有一系列丰富的语言来捕获和验证SoC。最常用的是System Verilog,用于嵌入式软件和高级模型的硬件和测试平台以及C/C++。有许多库和...

2020-10-26 19:39:50 554

翻译 浅谈可移植激励规范(PSS)复用策略

译者按 :当今硬件设计变得愈加复杂,如何创建出足够的测试来保证设计的正确性是每个硬件工程师需要面对的问题。Accellera的可移植激励测试规范(PSS、又称便携激励标准)[1]旨在希望能够提供一个独立的测试来源,从而实现跨层级的验证复用,即无论是IP级别、子系统、还是SoC级都使用同样的测试来源,他们也希望提供一系列功能来解决不同级别对于验证测试的不同要求,从而达到真正意义上的复用。然而,即使是像Java和C++这样拥有强大的面向对象特性的编程语言,都不能直接保证我们能得到高质量的可复用的代码,...

2020-09-25 09:37:25 2056

转载 你选对存储结构了吗?你会玩UVM配置数据库了吗?

摘要:来自Chris Spear五月份的博客20200528 使用SystemVerilog中的数组进行组织化SystemVerilog有许多存储数据的方法。向量、数组、结构、类以及我可能不记得的其他几种方法。挤进前10个博客文章的主题选择太多了,因此我举办了一个网络研讨会,实际上是其中的两个,以帮助你更好的组织起来。第一个网络研讨会着重于向量、固定大小的数组、动态数组、队列、关联数组和字符串 (是的,我忘记了)。这里先偷瞄一下这个图标,它可以帮助你在这些不同类型之间进行...

2020-09-25 09:25:11 1889

翻译 UVM序列的乐趣——编码和调试

原文作者:Rich Edelman - Mentor, A Siemens Business软文标题:UVM序列的乐趣——编码和调试软文摘要:概述如何构建和编写基本序列,然后扩展到更高级的用法。在SystemVerilog UVM测试平台中,大多数活动是由编写序列产生的。本文将概述如何构建和编写基本序列,然后扩展到更高级的用法。读者将学习有关产生序列项的序列,这些序列将导致其他序列的发生,并管理其他sequencer上序列的序列。异常事务的序列将会被研究生成,自检程序也将会...

2020-09-14 10:12:08 659 1

翻译 为什么超链接如此重要

为什么超链接对于HDL调试至关重要在万维网上冲浪是工程师每天最容易做的事情之一;调试他们的设计和测试平台却是最难的事情之一。EDA多年来一直在努力构建使调试易于处理的工具。最近的进展借用了流行的计算机应用程序(如Web浏览器)的易用性,并将其应用于一些最复杂的设计和验证问题。超链接是这里的一项关键技术。文本编辑器的局限性自从上世纪90年代早期逻辑综合被广泛采用以来,设计人员就开始使用硬件描述语言(HDL),如Verilog和VHDL。HDL还包含用于验证的构造,这些特性随着时间...

2020-09-02 19:03:53 582 1

转载 想查看SystemVerilog和UVM提示和小技巧吗?

20200429 SystemVerilog的静态方法前言在我的上一篇博客中,你已经学习了如何创建具有静态属性的类。这类变量的作用类似于全局变量,因为无论你创建多少个对象,都仅存在一个副本。这篇展示了如何创建访问那些静态属性的方法。方法任何类方法都可以读取和写入这些静态属性,就像动态属性一样。但是,如果将方法声明为静态方法,则可以调用该方法而无需构造对象。以下示例是带有静态方法的Thing类,用于打印Thing对象的数量。即使没有构造Thing对象,也可以调...

2020-08-20 15:40:57 725

翻译 使用SystemVerilog使状态机的运行更加容易

By Matthew Ballance,February 28, 2020声明式的、基于约束的描述的使用,如何帮助您将命令序列集中在感兴趣的领域上。行使状态机是验证设计功能的关键。实际上,状态机很重要,以至于Accellera开发的便携式激励标准(PSS)为模型活动提供了特定的功能,这些活动通过其状态对设计机进行排序。PSS为状态机提供的支持足以行使状态机,但我们也可以在SystemVerilog中获得许多更高效的建模和自动测试创建的相同好处。在这篇文章中,我们将展...

2020-08-06 15:48:10 871

原创 收藏 | 电子通信协议之CAN总线协议篇

CAN总线在硬件系统中占有一席之地,是国际上应用最广泛的现场总线之一,与我们收藏过的SPI、UART不同,属于“高端高效”系列。什么是CAN总线?嵌入式的工程师一般都知道CAN总线广泛应用到汽车中,其实船舰电子设备通信也广泛使用CAN,像机器人、工业、自动控制系统中,都有广泛的应用。随着国家对海防的越来越重视,对CAN的需求也会越来越大。概述CAN(Controller Area Network)即控制器局域网,是一种能够实现分布式实时控制的串行通信网络。想到CAN...

2020-07-30 16:32:03 1527

翻译 为什么很难确定IP质量(quality)

IP的特性、验证和使用方式会对设计的可靠性和兼容性产生重大影响。区分好IP与中劣IP变得越来越困难,部分原因在于它取决于使用方式和使用地点,部分原因是即使最好的IP在一个系统中也可能比另一个系统更好地工作——即使是同一厂商开发的芯片。IP本身也变得越来越复杂。曾经用于单一功能的IP现在已与其他IP结合在一起以创建子系统。尽管这在开发时间方面带来了好处,但同时也引发了涉及集成的新问题。因此,考虑任何IP的第一要务是是否值得将其包括在内。那么,什么样的IP是可接受的呢?技术副总裁兼Arm研...

2020-07-23 10:10:38 575

原创 IP验证的端到端形式化验证策略

摘要:IP验证传统上包括某种形式的受约束的随机验证方法,例如UVM,也可能包括对设计的一部分进行形式化验证。但是,在运行第一个随机测试之前,通常都有一个将所有验证基础架构汇总的提前期,并且覆盖率闭合也很耗时。对设计的一部分进行形式化验证有助于减少UVM测试平台的覆盖空间,但仍需要完整的UVM测试平台基础结构,并且还需要用于形式化验证的其他资源。在本文中,我们将端到端(E2E)形式化方法作为仅使用形式化工具来验证IP的可行选择。我们使用交叉开关(XBar)和中断控制器(INTC)这两个IP来呈现关于...

2020-07-16 11:53:35 1065

原创 芯片验证V2 Pro秋季班报名通道已开启!更有早鸟报名优惠等着你!!

路科验证V2Pro秋季班2020年08月16日开班电子相关专业学生,对芯片验证感兴趣,毕业之后希望从事验证工作?20年秋招找到了验证的工作,想到马上入职心里发慌?IC相关从业人员,想转岗验证?芯片验证新人,卡在职业瓶颈期,想从打杂执行者变身核心骨干?如果你是0-3岁的芯片验证工程师,V2 Pro课程是你最好的选择!那么,V2Pro相比于旧版的V2有哪些变化呢?V2系列课程有哪些独特之处? 20周的时间,从入门到精通。从验证系统理论到SystemVerilog语法,从..

2020-07-07 17:00:03 1244

原创 今年最后一期V2Pro班,如果错过了,最大的损失将是职业机会

这是一篇言简意赅的招生文,在今年最后一期V2Pro班在8月16日开班前,我们仍然有必要跟大家做有关课程内容、效果预期、学习路径等说明,接下来你要做的决定,就是动用你多年的工科思维衡量利弊,做足够的背景调查,并最终追随直觉,迅速做出决定。千万,千万不要一直犹豫,等到开了学再报名,新兵训练营尽可能做到整齐入队、从开学第一天开始就入队训练,效果自然更显著!第一问:课程内容设置?在2020年以前的课程基础上,我们增加了2个新的模块,截止到现在,我们共提供5个课程模块,简概如下,实际供给1...

2020-07-07 16:58:00 2692

原创 听说SPI访问寄存器的功能覆盖率很难?UVM助力实现方案来啦!

摘要虽然UVM寄存器抽象层支持功能覆盖率的收集,但是,对于复杂的寄存器访问场景,现有的元素显得并不充分。利用串行外设接口(SPI)访问寄存器带来了一种全新的挑战,这是由于比特分辨率访问级别和低级别通信参数已成为功能覆盖率的必要部分。外部功能覆盖率订阅器加速了这些单元的处理,与此同时也提供了将影响寄存器访问的其他因素(例如,功耗管理)纳入覆盖率度量指标的选项。一、引言寄存器空间的验证是验证过程中的关键任务之一。寄存器实现当中的任何bug将意味着一个错误的设备操作。如果SPI被用来访...

2020-07-07 16:56:15 2406

原创 你知道用于AMBA协议的“Checker IP”是如何构建的吗

译者小注:本文主要阐述了verification IP中的一个子集:checker IP。这一术语由本文的作者提出,用于区别传统的VIP。在文中,作者分享了自己的搭建经验和指导。同时,本文路桑已在路科公众号发布过一篇小结性的文章:《我敢说能做好验证IP的verifier是验证顾问的不二人选》。摘要:检查器是设计验证流程的关键部分。随着随机约束技术的出现,各种语言,方法和工具都支持了许多的激励生成功能。使用各种形式的检查器可以确保设计符合规范。术语"checker"对不同的使用者意味着不同的事物。...

2020-07-07 16:47:59 892

原创 收藏 | 电子通信协议之I2C通信协议篇

到目前为止,我们已经讨论了SPI通信和UART通信的基础知识,因此现在让我们进入本系列的最后一个协议,即集成电路总线或I2C。如果你在项目里使用OLED显示器,气压传感器或陀螺仪/加速度计模块,那你很可能会发现自己正在使用I2C通信协议。I2C通信简介I2C结合了SPI和UART中最好的功能。使用I2C,您可以将多个从设备连接到单个主设备(像SPI那样),也可以由多个主设备控制一个或多个从设备。当你希望有多个微控制器将数据记录到单个存储卡或将文本显示到单个LCD...

2020-07-07 16:42:14 1455 1

原创 收藏 | 电子通信协议之UART通信协议篇

还记得以前打印机、鼠标和调制解调器都会带有那些笨拙的连接器的粗电缆的时代吗?从字面上来解读,就是必须拧入您的计算机的那些?这些设备可能正在使用UART协议与您的计算机进行通信。尽管USB几乎完全取代了那些旧的电缆和连接器,但是UART绝不会是一个过时的东西。您会发现许多DIY电子项目中仍然使用UART将GPS模块、蓝牙模块和RFID读卡器模块连接到Raspberry Pi、Arduino或者其他微控制器。UART代表通用异步接收器/发送器。它不是...

2020-07-07 16:39:39 839

原创 收藏 | 电子通信协议之SPI通信协议篇

当你将微控制器连接到传感器,显示器或其他模块时,你是否考虑过这两种设备如何相互通信?他们到底在说什么?他们如何理解对方?电子设备之间的通信就像人类之间的通信。双方都需要说同样的语言。在电子产品中,这些语言称为通信协议。对我们来说幸运的是,在构建大多数DIY电子产品项目时,我们只需要知道几个通信协议即可。在本系列文章中,我们将讨论三种最常见协议的基础:串行外设接口(Serial Peripheral Interface, SPI),集成电路总线(Inter-Int...

2020-07-07 16:37:20 1413

原创 如何提高验证组件的垂直复用、水平复用和平台复用?

摘要:本文提出了实用的方案,以增强基于AMBA的SoC设计验证的验证组件的复用。AMBA统一验证系统(AUVS,AMBA Unified Verification System)可以通过为每个配置预先定义AMBA agent块来减少构建测试平台的时间,并且还可以通过提供AMBA VIP的抽象层来提高验证组件的平台复用。固件类的序列(FLS,Firmware-Like Sequence)是一种仅使用硬件抽象层(HAL,Hardware Abstraction Layer)提供的任务描述测试序列的...

2020-07-07 16:31:58 1477

原创 分层协议验证还需创建新的环境组件?不!引入UVM序列项才是Easy Way

一. 引言分层协议架构可将复杂协议分解为更为简单的任务,因此通常被应用于各个不同领域。在模块级和芯片级设计中,分层协议的应用也很普遍。分层协议的一些示例,如PCIe、串行Rapid IO和MIPI等,均具有上层逻辑层,且该逻辑层的数据包会转换为协议规定的信号来传输互连,被传递到下层物理层。对于集成电路IP设计,模块级通信通常使...

2020-07-07 16:26:57 342

原创 V2Pro春季班普遍学撑了,秋季班7月报名你还敢来么

今年V2春季班开班以后,同学们的学习信心保持着高涨,一直维持到了课程结业。今年春季班课程学习的进度在V2.1模块结束以后,我们开始推出了当时号称“加量不加价”的V2Pro增量课程,从DVT Eclipse工具的使用、覆盖率驱动验证管理流程、TBA测试平台自动化初级应用、寄存器一致性解决方法最后再到验证回归工具应用,把大家在进入公司以后能够接触到的绝大多数标准化验证流程全部代入。就这...

2020-07-01 15:33:01 553

原创 如何有效而正确的使用继承和多态性?

​本文是有关SystemVerilog(SV)面向对象编程( object oriented programming,OOP)的第二部分。在第一篇文章中,我们介绍了class(类)这一数据类型的基础知识和OOP的历史。在本文中,使用示例说明了如何有效而正确的使用继承和多态性,为应用通用验证方法学(Universal Verification Methodology,UVM)流程做准备。OO...

2020-01-15 20:13:54 983

原创 为什么需要硬件加速来验证深度学习设计?

介绍毫无疑问,计算机已经彻底改变了我们的生活。尽管如此,在完成复杂的任务(诸如在几乎不需花费时间的情况下解决复杂的数学方程之类)时,计算机的性能远远优于人类;但在解决人类可以轻松完成的任务(例如图像识别)时,它们的性能可能会不如人类。世界上任何人都可以立即识别出猫的照片,但世界上最强大的PC可能需要几个小时才能得到相同的答案。该问题属于传统的控制处理单元(CPU)冯·诺伊...

2020-01-15 20:12:50 1250 1

原创 一窥Memory测试算法及自我修复机制

摘要为了满足新一代设备的需求,Memory的大小每三年就会增加4倍。深亚微米设备包含大量面积更小、访问速度更快的Memory。针对此类设计制定自动测试策略可以减少ATE(自动测试设备)的时间和成本。内存故障的行为与经典的“Stuck-At”故障不同。因此,Memory的故障模型(由于其阵列结构)与标准逻辑的设计也是不同的。Memory测试除了进行故障检测和定位,还可以实现用冗余单元对...

2020-01-15 20:11:27 14955 2

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除