UVM消息打印机制之uvm_report (一)

本文详细介绍了UVM中uvm_report的消息打印机制,包括verbosity、severity和action的概念。通过uvm_error为例,阐述了如何判断消息是否会被打印,重点解析了uvm_report_enable函数的作用。uvm_error、uvm_warning、uvm_fatal的verbosity默认设置使得这些消息总是enable,而uvm_info则需要根据verbosity进行判断。
摘要由CSDN通过智能技术生成

report机制可以实现对信息打印的精确控制。下面分点讲解,并且均是在uvm_component组件中使用信息宏。(uvm_object中使用原理相同)
下面以`uvm_error(“ID”,”message”)来说明:

`define uvm_warning(ID,MSG) \
   begin \
     if (uvm_report_enabled(UVM_NONE,UVM_WARNING,ID)) \
       uvm_report_warning (ID, MSG, UVM_NONE, `uvm_file, `uvm_line); \
   end

首先介绍在UVM中非常重要的几个概念(1)verbosity,(2)存在severity(3)action。
verbosity可以这么理解:反应信息需要被打印的过滤程度,主要有下面几种类型



      typedef enum

{

  UVM_NONE   = 0,

  UVM_LOW    = 100,

  UVM_MEDIUM = 200,

  UVM_HIGH   = 300,

  UVM_FULL   = 400,

  UVM_DEBUG  = 500

} uvm_verbosity;


verbosity值越大,越容易被过滤掉
与verboisty相对应的是信息的安全级别(severity),主要有下面几种



typedef enum bit [1:0]

{

  UVM_INFO,

  UVM_W
  • 8
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值