数字电路基础与Quartus-II入门

一.安装Quartus-II软件和Modsim仿真软件

可参考链接:
https://blog.csdn.net/qq_43279579/article/details/115158140.
https://blog.csdn.net/qq_43279579/article/details/115176423.

二. 在 Quartus-II 中用门电路设计一个D触发器,并进行仿真,时序波形验证

1.创建项目过程

1.首先设置项目路径以及项目名称、顶层名
在这里插入图片描述
2.选择器件型号
在这里插入图片描述
在这里插入图片描述

2.绘制D触发器原理图

1.点击file -> new -> Block Diagram 新建框图
在这里插入图片描述
在这里插入图片描述
2.D触发器由一个非门、两个输出、两个输入、四个与非门共同构成,最终效果图如下
在这里插入图片描述
3.编译成功后点击Tools -> netlist Viewers -> RTL Viewer 可以查看硬件电路图
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

3.查看仿真波形

1.在编译好后file->new
在这里插入图片描述
2.选择VWF波形文件
在这里插入图片描述
3.进入新的窗口后选择右上角的edit->insert->
在这里插入图片描述
4.选择node finder,接着点击list 在出现的列表中点击》符号后点击ok,出去后再点击ok
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
5.点击CLK选择上图overwrite clock输入时钟信号
接着随便编辑D信号
在这里插入图片描述

在这里插入图片描述
6.可以看到仿真后的波形,结果Q随着D信号的变化而变化且存在一定时延
在这里插入图片描述

三.在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证,与上述做比较

1.项目创建

与上述步骤基本一致

2.电路图构成与仿真

1.在夸图斯中直接有着D触发器,可以直接使用而不用门电路,在name中输入dff即是D触发器
在这里插入图片描述
2…编译后像上一步一样创建波形文件引入信号,仿真波形
在这里插入图片描述

四.总结

此次学习了如何安装Quartus-II软件和Modsim仿真软件,并在Quartus-II 中自己用门电路设计一个D触发器,进行仿真,时序波形验证以及在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证,为后续FPGA学习打下基础.

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值