- 博客(2)
- 收藏
- 关注
原创 Python –from StackOverflow
Python –from StackOverflow 1、最佳Python代码库 Python开发资源大全:Python框架、库、软件以及资源精选列表。(https://github.com/vinta/awesome-python) 这个列表有中文版,我们以前专门推荐过:https://github.com/jobbole/awesome-python-cn Python资源精选列表2:精心筛选的一组Python框架、库、软件以及资源列表。(https://github.com/tranan.
2021-03-09 20:58:46 85
原创 数字逻辑课程设计电子钟
(1) 模8计数器 library ieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entity mo8 is port( clk,clr:in std_logic; ql:out std_logic_vector(2 downto 0);
2017-08-16 17:58:48 3634 4
空空如也
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人