计算机组成原理实验报告 实验五 三人表决电路实验

  1. 实验类别
    本实验为验证型实验。
  2. 实验目的
    ① 验证三人表决电路的功能。
    ② 能够运用 Verilog HDL 进行组合电路的设计和仿真。
    ③ 熟悉 Vivado 的设计流程,并进行硬件测试。
  3. 实验原理
    三人表决电路中,当表决某个提案时,多数人同意,则提案通过,同时有一个人具有否决权;若全票否决,也会显示。设输入为 A、B、C,且A具有否决权;同意用1表示,不同意用0表示;输出X为1时,表示提案通过;Y为1时,表示提案全票否决。那么,三人表决电路的真值表如下表所示。
    在这里插入图片描述
    4 实验内容和要求
    1)三人表决电路的输入与仿真
    学习软件平台和设计流程。利用 Vivado 完成三人表决电路的文本编辑输入和仿真测试等步骤,给出仿真波形。
    2)设计实验方案
    三人表决电路实验的结构框图如下图所示,输入信号 A、 B、 C 分别接拨码开关SW0、SW1、SW2,输出信号X、Y分别接指示灯LED1、LED2。
    在这里插入图片描述
    3)硬件测试
    根据实验方案创建约束文件,绑定引脚,并下载到实验箱的 FPGA 实验板上进行硬件测试。读者应熟悉硬件平台,特别需要掌握利用拨码开关输入表决情况,利用 LED 灯观察表决结果的方法;做好实验记录,验证三人表决电路的功能
  • 2
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

m明月Java3

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值