大整数乘法

本文介绍了Karatsuba分治算法在大整数乘法中的应用,详细阐述了算法的求解步骤,包括理想化情况和非理想化情况下的处理。通过分解大整数并利用已计算的部分减少计算复杂度,实现了更高效的乘法运算。文中提供了位数相同的计算公式,并探讨了位数不同的情况下的优化策略。
摘要由CSDN通过智能技术生成

大整数乘法

这里记录一下karatsuba分治算法的方法

(现阶段只写了在long long int范围内的程序)

有关karatsuba算法的知识移步查看<karatsuba - DGSO百科)>

karatsuba算法即为将大整数分为两部分后分别计算,以此来减少程序的时间复杂度


在这里插入图片描述

可以将X,Y,分开来计算,同时对于AD+BC

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-zU28Kf0z-1647534125449)(C:\Users\86176\AppData\Roaming\Typora\typora-user-images\image-20220318000103248.png)]

可以采用已经计算过的AC,BD,来表示进一步减少程序的运算量和复杂度

Karatsuba乘法求解步骤:

1、分解。将大整数X、Y(分别为n,m位)分别为A、B、C、D。值

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值