FPGA新起点V1开发板(二)——Quartus II软件的安装和USB-BLaster驱动安装

一、Quartus II软件的安装

当然,这种东西我要是再写一遍就很无聊了,这里给出方法和连接
视频:Quartus II软件的安装
文章:【正点原子FPGA连载】第四章Quartus II软件的安装和使用 -摘自【正点原子】新起点之FPGA开发指南_V2.1
资源:FPGA新起点V1开发板 在工具盘里面
破戒:链接
最后当然是成功啦
在这里插入图片描述

二、USB-BLaster驱动安装

请添加图片描述
首先
在这里插入图片描述
插入后看到这个
右击选择更新程序软件,再选择如下
在这里插入图片描述

在这里插入图片描述
进入到D:\quartus13.1\quartus\drivers\usb-blaster
在这里插入图片描述
不用选择x32和x64,就这样就行,然后就可以了
在这里插入图片描述

成功
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

周末不下雨

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值