define与宏

#define sqrt( x) x*x

宏在调用时不会进行参数的计算,而是直接替换 因此 sqrt(3+1) -> 3+1*3+1 使得最终得到的值无法预料

#define MAX(a,b) a>b? a:b

宏使用具有副作用的参数会引起无法预料的结果:

int a=3,b=4; MAX(a++,b++)-> a++> b++ ? a++: b++ 在进行比较时,b较大因此输出b++,b被比较完之后自增1;b++被 输出时先输出再自增,因此宏的返回值是5,b的值为6;

  • 与 # 是宏独有的功能

在#define中 #可以将参数直接作为字符串传入,或者使用##来连接两个字符串

条件编译

可以用来通过条件编译的判断,决定是否执行代码块,类似于if语句;

当头文件中加上#pragma once 可以方式头文件被多次引用;

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值