实验五:触发器电路仿真与硬件实现

实验五:触发器电路仿真与硬件实现

实验要求:

1、首先用 multisim (或logsim)采用门电路或者74LSxx系列设计基本RS、D触发器,进行仿真,写成真值表。2、在控制台上,用硬件联线完成SR触发器、D触发器的实际电路,对真值表进行验证并记录。

1.首先用 multisim (或logsim)采用门电路或者74LSxx系列设计基本RS、D触发器,进行仿真,写成真值表。

(1)用与非门构造RS触发器

RS锁存器,我们可以简单将它理解为一个存储单元,可以存储一位数据(0或者1)。基本的RS锁存器可以用两个 与非门 或者 或非门 实现:在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

logsim仿真:在这里插入图片描述

(二)用与非门构造D型触发器

前面RS触发器当输入R=1,S=1的情况时,输出是不确定的状态,这种情况比较棘手。因此为了解决这个问题,又引入了D触发器。

在这里插入图片描述

logsim仿真:

在这里插入图片描述

(三)维持阻塞D触发器:

在这里插入图片描述

logsim仿真:

在这里插入图片描述

2.在控制台上,用硬件联线完成SR触发器、D触发器的实际电路,对真值表进行验证并记录。

(一)RS触发器

在这里插入图片描述

(二)D型触发器

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值