PHP+vscode安装,环境配置,实例运行

php下载配置 

PHP: Downloadsicon-default.png?t=N3I4https://www.php.net/downloads.php

选择你的版本下载,新手可以随便选一个:

 点击windows downloads,选择zip版本解压到任意目录,建议新建英文目录phpway。

右键我的电脑:

点击属性,在关于一栏找到:

 

点击高级系统设置,选择环境变量:

 

 

 我个人建议在用户path中添加路径:双击path

 我的路径是最后那一条。这里其实不影响我后面说的vscode使用,当然方法有很多,这里我给大家做一些常规的工作。

测试:打开cmd命令行,输入php -h查看是否有输出:

 

下载vscode

vscode:

Visual Studio Code - Code Editing. Redefined

这里不多说,直接下载安装一路到底。来看进入主界面之后的操作:

新建工程目录,在vscode打开:

 

示例代码

main.html

<!DOCTYPE html>
<html lang="en">
<head>
    <meta charset="UTF-8">
    <meta http-equiv="X-UA-Compatible" content="IE=edge">
    <meta name="viewport" content="width=device-width, initial-scale=1.0">
    <title>Document</title>
</head>
<body>
    
<form action="index.php" method="post">
    名字: <input type="text" name="fname">
    年龄: <input type="text" name="age">
    <input type="submit" value="提交">
    </form>
    
</body>
</html>

 index.php

欢迎 <?php echo $_POST["fname"]; ?>!<br>
你的年龄是 <?php echo $_POST["age"]; ?>  岁。

点击拓展:

搜索phpsever:

安装之后,找到它点击卸载旁边那个齿轮符号:

 

 

配置界面如下:

 事实上这里给你下载的php解压的文件夹内的php.exe路径放到这里就可以了。然后把光标移到另外一个框就可以叉掉这个界面,

这时回到mian.html:

右键sever project:

 

 如何!你现在就可以开始你的学习之路了,注意以上步骤并不完整,也并不是最精简的方法。vscode还有更强大的插件,php也有更智能化的组件,但是对于php的初学者来说这已经是一个很好的开始了。后续我会尝试其他安装php,配置php环境的方法的,比如使用docker也不失为一个好办法。

  • 3
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
好的,我可以回答你的问题。下面是关于Python生成testbench和Vivado+VSCode配置的流程。 1. Python生成testbench Python可以用来生成testbench代码,这样可以减少手动编写testbench的工作量。下面是一个简单的Python代码生成testbench的示例: ```python import random # 生成 testbench 的代码 def generate_testbench(): # 打开输出文件 f = open("testbench.v", "w") # 生成模块名称 module_name = "testbench_" + str(random.randint(0, 100)) # 写入模块头 f.write("module " + module_name + ";\n") f.write("\n") # 写入输入和输出端口 f.write("reg a;\n") f.write("wire b;\n") f.write("\n") # 写入被测模块的实例化 f.write("DUT dut(\n") f.write("\t.a(a),\n") f.write("\t.b(b)\n") f.write(");\n") f.write("\n") # 写入测试代码 f.write("initial begin\n") f.write("\ta = 1'b0;\n") f.write("\t#10;\n") f.write("\ta = 1'b1;\n") f.write("\t#10;\n") f.write("end\n") f.write("\n") # 写入模块尾 f.write("endmodule\n") f.write("\n") # 关闭输出文件 f.close() ``` 上面的代码会生成一个包含一个简单testbench的Verilog文件。你可以根据需要修改生成的testbench代码。 2. Vivado+VSCode配置流程 Vivado是一个非常流行的FPGA设计工具,VSCode是一个轻量级的代码编辑器,它们可以一起使用进行FPGA设计。 下面是Vivado+VSCode配置的流程: 1. 安装Vivado和VSCode 2. 打开Vivado,创建一个新的项目 3. 在项目中创建一个新的Verilog模块 4. 在VSCode中打开这个模块的Verilog文件 5. 在VSCode中安装Verilog插件 6. 在VSCode中配置Vivado的路径 7. 在VSCode中配置Vivado的Tcl shell路径 8. 在VSCode中配置模块的testbench文件路径 9. 在VSCode中运行testbench 详细的配置流程可以参考Vivado官方文档和VSCode官方文档,这里就不再赘述了。 希望这些信息能对你有所帮助!
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值