VHDL——含异步清零和同步使能的加法计数器源程序

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------------
entity counter is
  port( clk,ret,en    :  in   std_logic;   --定义时钟、异步复位、同步使能信号
        cq            :  out  std_logic_vector(3 downto 0);    --计数结果
        cout          :  out  std_logic   --进位信号
       );      
end counter;
--------------------------------------------------------------------
architecture behave of counter is
  begin
    process(clk,ret,en) 
      variable  cqi :  std_logic_vector(3 downto 0);   
      begin
        if  ret='0' then  cqi:= "0000";-- 计数器异步复位
          elsif  clk'event  and  clk='1' then--检测时钟上升沿
            if  en='1' then--检测是否允许计数(同步使能)
              if  cqi<15  then cqi:=cqi+1;
              else  cqi:= "0000";
              end if;
            end  if;
        end if;
        if  cqi>9  then  cout<='1';--输出进位信号
           else    cout<='0';
        end if;
       cq<=cqi;--计数值向端口输出
     end  process;
  end  behave;
  • 20
    点赞
  • 93
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

364.99°

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值