无线传感网与识别技术——Flooding协议

无线传感网与识别技术——韩博HBE-Ubi-CC2431平台Flooding协议与传感器数据收集

一、实验前提

1.1 精读韩博pdf文档-无线Ad Hoc Flooding实验例程

1.2 精读韩博pdf文档-传感器节点与射频识别的实验例程

1.3 实验目的

了解与使用Flooding协议洪泛传输数据,掌握NesC编程语言与TinyOS,熟悉串口通信与传感器数据采集。

二、实验内容

完成节点数据采集和标签信息的洪泛传输,能够在与Sink 节点相连接的PC上看到结果(标签信息、感知的数据)。其中,节点感
知数据采集仅包括:光照值、温湿度值,采集节点每4s采集一次温湿度、每2s采集一次光照。标签数据的读写控制周期为3s。

应在本地PC上,采用Python或Java或C语言编写串口读写程序,实现串口数据收发功能;前期可直接选用相关串口工具访问串口数据。

在本地PC上串口数据的读写完成后,将数据远程传输到云服务器,然后,远程PC能访问到数据。

三、实验结果

在这里插入图片描述

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-rkoDm9XP-1688192196504)(/Users/dehaomeng/Library/Application Support/typora-user-images/image-20230701141432906.png)]

在这里插入图片描述

四、实验代码

# !/usr/bin/env python
# -*-coding:utf-8 -*-


# ProJect    : 传感网
# File       : serialGUI.py
# Author     :ITApeDeHao
# version    :python 3.8
# Time       :2023/6/21 13:11

"""
******************Description********************
"""
import copy

from configuration import *

# 串口线程
class SerialThread(threading.Thread):
    def __init__(self):
        super().__init__(daemon=True)
        self.ser = None
        self.ali = None

    def run(self) -> None:
        self.port_open_recv()
        self.ali = AliYunThread()
        while ser:
            try:
                rx_buf = ''
                rx_buf = self.ser.read()  # 读取数据流
                if rx_buf != b'':
                    time.sleep(0.1)
                    rx_buf = rx_buf + self.ser.read_all()
                    a = rx_buf.decode("utf-8")
                    self.process(a)
                time.sleep(0.3)
            except Exception as e:
                print(e)

    # 处理数据并更新UI且将数据传输至阿里云
    def process(self, a):
        global prop_data, stats
        a = a.split(" ")
        if a[1] == "SUCCESS" or a[1] == "Error":
            if a[1] == "Error":
                stats.ms.text_print.emit("Data Error")
            if a[1] != "Error":
                a = [a[s] + " " for s in range(6)]
                stats.ms.text_print.emit(''.join(a))
                # 记录数据用于向阿里云发送
                prop_data["RFID"] = "".join(a[2:])
        elif a[0] == "99":  # 收到更新光照
            stats.ms.view_pho.emit(int(a[3], 16))
            # 记录数据用于向阿里云发送
            prop_data["SUN"] = int(a[3], 16)
        elif a[0] == "66":  # 收到更新温湿度
            b = int(a[1], 16)*0.01 - 40
            stats.ms.view_temp.emit(b)
            stats.ms.view_hum.emit(int(a[2], 16))
            # 记录数据用于向阿里云发送
            prop_data["TEMP"]= b
            prop_data["HUMI"] = int(a[2], 16)
            if len(prop_data.keys()) == 4:
                self.ali.start()

    # 启动 打开串口
    def port_open_recv(self):
        self.ser = serial.Serial()
        self.ser.port = port  # 串口号码
        self.ser.baudrate = 57600  # 波特率
        self.ser.bytesize = 8
        self.ser.stopbits = 1
        self.ser.parity = "N"  # 奇偶校验位
        self.ser.open()
        if (self.ser.isOpen()):
            global ser
            ser = self.ser
            QMessageBox.information(stats.ui, '信息', "串口打开成功!")
        else:
            QMessageBox.information(stats.ui, '信息', "串口打开失败!")

    # 关闭串口
    def port_close(self):
        self.ser.close()
        if (self.ser.isOpen()):
            QMessageBox.information(stats.ui, '信息', "串口关闭失败!")
        else:
            QMessageBox.information(stats.ui, '信息', "串口关闭成功!")

# 阿里云传输数据线程
class AliYunThread(threading.Thread):
    def __init__(self):
        super().__init__(daemon=True)
        self.lk = None


    def run(self) -> None:  # 向阿里云传输数据
        self.link()
        global prop_data
        data = copy.deepcopy(prop_data)
        rc, request_id = self.lk.thing_post_property({**data})
        counts = 2
        while counts:
            if rc == 0:
                print("thing_post_property success:%r,mid:%r,\npost_data:%s" % (rc, request_id, prop_data))
            else:
                print("thing_post_property failed:%d" % rc)
            time.sleep(2)
            counts-=1


    # 链接阿里云
    def link(self):
        self.lk = linkkit.LinkKit(
            host_name=host_name,
            product_key=product_key,
            device_name=device_name,
            device_secret=device_secret)

        self.lk.thing_setup('./models.json')
        la.Link_ali_iot(self.lk)
        idfy.Iot_data_from_yun()


# 信号类
class MySignals(QtCore.QObject):
    # 定义一种信号,两个参数 类型分别是: QTextBrowser 和 字符串
    # 调用 emit方法 发信号时,传入参数 必须是这里指定的 参数类型
    text_print = QtCore.Signal(str)
    view_pho = QtCore.Signal(int)
    view_temp = QtCore.Signal(float)
    view_hum = QtCore.Signal(int)

# UI 主线程
class Stats:
    def __init__(self):
        # 从文件中加载UI定义
        # 从 UI 定义中动态 创建一个相应的窗口对象
        # 注意:里面的控件对象也成为窗口对象的属性了
        self.ui = QUiLoader().load('./PC_Ali/segui.ui')
        self.input_dialog = QInputDialog
        self.ui.button_4.clicked.connect(self.bwrite)
        self.ui.openbutton.clicked.connect(self.open)
        self.ui.closebutton.clicked.connect(self.close)
        self.ms = MySignals()
        self.ms.text_print.connect(self.tb_print)
        self.ms.view_pho.connect(self.view_pho)
        self.ms.view_temp.connect(self.view_temp)
        self.ms.view_hum.connect(self.view_hum)

    # 开机函数
    def open(self):
        global ser
        if ser != None:
            QMessageBox.critical(self.ui, '错误', '请勿重复打开串口!!')
            return
        self.st = SerialThread()
        self.st.start()

    def close(self):
        global ser
        if ser == None:
            ser = serial.Serial()
        ser.close()
        ser = None
        self.st = None

    # 通过界面写入数据
    def bwrite(self):
        result = self.input_dialog.getText(self.ui, "写入数据", "请输入数据")
        if (result[1]):
            senddata = ""
            for i in range(4):
                num = result[0][i:i + 1]
                if ('0' <= num and num <= '9'):
                    senddata += hex(int(num))[2:].zfill(2)
                elif (num == 'A'):
                    senddata += "0A"
                else:
                    senddata += num.encode().hex()
            self.t_send(4, senddata)

    # 向非Sink节点的读取的卡片写入数据
    def t_send(self, func, data):
        global ser
        if ser == None:
            QMessageBox.critical(self.ui, '错误', '请先开机!!')
            ser = serial.Serial()
            return
        if func == 4:
            send_data = "0405" + data  # 发送数据格式
        if (ser.isOpen()):
            # 编码发送
            ser.write(binascii.a2b_hex('7E'))  # 编码
            ser.write(binascii.a2b_hex(send_data))  # 编码
            time.sleep(1)
            QMessageBox.information(self.ui, '信息', '发送成功')
        else:
            QMessageBox.critical(self.ui, '错误', '发送失败!!')

    # 接收到RFID信息后更新相应页面
    def tb_print(self, str):
        self.ui.data.append(str)
        self.ui.data.ensureCursorVisible()

    # 接收到光照信息后更新相应页面
    def view_pho(self, num):
        self.ui.illumination.display(num)

    # 接收到温度信息后更新相应页面
    def view_temp(self, num):
        self.ui.temperature.display(num)

    # 接收到光照信息后更新相应页面
    def view_hum(self, num):
        self.ui.humility.display(num)

if __name__ == '__main__':
    app = QApplication([])
    stats = Stats()
    stats.ui.show()
    app.exec()

完整代码+部署可私聊~

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
以下是一个基本的Verilog HDL代码实现DS18B20的初始化工作、读取48位ID号、启动温度转换以及读取结果,以及CRC校验码的计算。请注意,此代码仅供参考,因为不同的DS18B20可能具有不同的数据格式和通信协议,因此需要根据实际情况进行修改。 ```verilog // DS18B20温度传感器的初始化和读取代码 module ds18b20 ( input clk, // 时钟信号 input rst, // 复位信号 input en, // 使能信号 output reg [15:0] temp, // 温度值 output reg [47:0] id, // 48位ID号 output reg done // 完成标志位 ); reg [3:0] state; // 状态寄存器 reg [7:0] cnt; // 计数器 reg [7:0] crc; // CRC校验码 reg [7:0] data[8]; // 数据寄存器 // 定义DS18B20的命令 parameter CMD_SKIP_ROM = 8'hCC; parameter CMD_READ_ROM = 8'h33; parameter CMD_CONVERT_T = 8'h44; parameter CMD_READ_SCRATCHPAD = 8'hBE; // 状态机的各个状态 parameter IDLE = 2'd0; parameter SEND_RESET = 2'd1; parameter READ_ID = 2'd2; parameter CONVERT_TEMP = 2'd3; parameter READ_TEMP = 2'd4; parameter CRC_CHECK = 2'd5; parameter DONE = 2'd6; always @(posedge clk) begin if (rst) begin // 复位状态机 state <= IDLE; cnt <= 0; crc <= 0; temp <= 0; id <= 0; done <= 0; end else if (en) begin case (state) IDLE: begin // 空闲状态 state <= SEND_RESET; end SEND_RESET: begin // 发送复位信号 data[0] <= 1'b0; cnt <= cnt + 1; if (cnt == 480) begin state <= READ_ID; cnt <= 0; end end READ_ID: begin // 读取48位ID号 data[0] <= CMD_READ_ROM; cnt <= cnt + 1; if (cnt == 8) begin id[0] <= data[1]; id[8] <= data[2]; id[16] <= data[3]; id[24] <= data[4]; id[32] <= data[5]; id[40] <= data[6]; id[48] <= data[7]; state <= CONVERT_TEMP; cnt <= 0; end end CONVERT_TEMP: begin // 启动温度转换 data[0] <= CMD_SKIP_ROM; data[1] <= CMD_CONVERT_T; cnt <= cnt + 1; if (cnt == 16) begin state <= READ_TEMP; cnt <= 0; end end READ_TEMP: begin // 读取温度转换结果 data[0] <= CMD_SKIP_ROM; data[1] <= CMD_READ_SCRATCHPAD; cnt <= cnt + 1; if (cnt == 16) begin temp[7:0] <= data[2]; temp[15:8] <= data[1]; crc <= data[3]; state <= CRC_CHECK; cnt <= 0; end end CRC_CHECK: begin // 计算CRC校验码 if (cnt < 8) begin crc <= crc ^ data[cnt]; end cnt <= cnt + 1; if (cnt == 72) begin if (crc == 0) begin done <= 1; state <= DONE; end else begin state <= SEND_RESET; cnt <= 0; crc <= 0; end end end DONE: begin // 完成状态 state <= IDLE; end endcase end end endmodule ```

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ITApe_DeHao

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值