- 博客(1)
- 收藏
- 关注
原创 三位2进制乘法器设计VHDL
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk:in std_logic; clk1hz:out std_logic; clk10khz: out std_logic );end fenpin;architecture behavior of fenpin issignal count:std_logic_vec...
2021-06-03 09:00:04 1504 1
空空如也
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人