笔记
诺贝尔可爱奖·
这个作者很懒,什么都没留下…
展开
-
2021-06-25
1.实验目的: 下载Quartur ii软件和modlsim并进行联合仿真。 2.实验内容: 参照哔哩哔哩中教程的代码,然后用quartus ii和modlsim进行联合仿真 3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作。 4实验工具: pc机和Quartur ii软件和modlsim软件。 5.实验截图: 6.实验视频: 请下载哔哩哔哩动画打开此网址: 7.实验代码: module trin(Y,E,F); parameter n=8; input [n-1:0]Y; input E原创 2021-07-07 11:43:48 · 57 阅读 · 0 评论 -
2021-05-29
1.实验目的: 下载Quartur ii软件和modlsim并进行联合仿真。 2.实验内容: 参照哔哩哔哩中教程的代码,然后用quartus ii和modlsim进行联合仿真 3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作。 4实验工具: pc机和Quartur ii软件和modlsim软件。 5.实验截图: 6.实验视频: 请下载哔哩哔哩动画打开此网址: 7.实验代码: module MSDEF(Q , Qbar , D, C ); output Q , Qbar ; input D原创 2021-07-07 11:42:18 · 54 阅读 · 0 评论 -
2020-05-29
1.实验目的: 下载Quartur ii软件和modlsim并进行联合仿真。 2.实验内容: 参照哔哩哔哩中教程的代码,然后用quartus ii和modlsim进行联合仿真 3.实验原理:书写和运行代码,完成联合仿真操作。 4实验工具: pc机和Quartur ii软件和modlsim软件。 5.实验截图: 6.实验视频: 请下载哔哩哔哩动画打开此网址: 7.实验代码: module fulladd(sum,c_out,a,b,c_in); output sum,c_out; input a,b,c_i原创 2021-07-07 11:40:46 · 53 阅读 · 0 评论 -
2021-05-29
1.实验目的: 下载Quartur ii软件和modlsim并进行联合仿真。 2.实验内容: 参照哔哩哔哩中教程的代码,然后用quartus ii和modlsim进行联合仿真 3.实验原理:书写和运行代码,完成联合 4实验工具: pc机和Quartur ii软件和modlsim软件。 5.实验截图: 6.实验视频: 请下载哔哩哔哩动画打开此网址: 7.实验代码: module DEC2x4 (Z,A,B,Enable ); output [3:0]; input A,B,Enable; wire Abar原创 2021-07-07 11:38:56 · 67 阅读 · 0 评论 -
2020-5-9
1.实验目的: 下载Quartur ii软件和modlsim并进行联合仿真。 2.实验内容: 参照哔哩哔哩中教程的代码,然后用quartus ii和modlsim进行联合仿真 3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作。 4实验工具: pc机和Quartur ii软件和modlsim软件。 5.实验截图: 2-1 2-2 2-3 2-4 6.实验代码:根据老师给的代码 7.软件下载网站: 1.复制这段内容后打开百度网盘App,操作更方便哦。 链接:https://pan.bai原创 2021-07-07 11:36:47 · 61 阅读 · 0 评论 -
2021-5-9
1.实验目的: 下载Quartur ii软件和modlsim并进行联合仿真。 2.实验内容: 参照哔哩哔哩中教程的代码,然后用quartus ii和modlsim进行联合仿真 3.实验原理:根据书上代码进行仿真 4实验工具: pc机和Quartur ii软件和modlsim软件。 5.实验截图: 6.实验视频: 请下载哔哩哔哩动画打开此网址: https://b23.tv/MA4KYY 7.实验代码: module test; wire sum,c_out; reg a,b,c_in; fulladd f原创 2021-07-07 11:31:50 · 50 阅读 · 0 评论 -
考试题目第二个实验
1.实验目的: 使用modlsim进行仿真。 2.实验内容: 参照电子书里的代码,然后用modlsim进行仿真 3.实验原理 4实验工具: modlsim软件。 5.实验截图 6.实验视频 使用哔哩哔哩复制代码http//:BV1464y127zj搜索 7.实验代码: module s4; reg [3:0] a,b; reg [2:0] select; reg [3:0] result_f,result_t; integer seed1,seed2; initial begin select=0;原创 2021-06-28 19:23:03 · 140 阅读 · 0 评论 -
考试题目第三次实验
1.实验目的: 使用modlsim进行仿真。 2.实验内容: 参照电子书里的代码,然后用modlsim进行仿真 3.实验原理 4实验工具: modlsim软件。 5.实验截图 6.实验视频 使用哔哩哔哩复制代码http//:BV1464y127zj搜索 7.实验代码: module Add_full_unit_delay(output c_out,sum,input a,b,c_in); wire w1,w2,w3; Add_half_unit_原创 2021-06-27 20:40:17 · 77 阅读 · 0 评论 -
考试题目第一个实验
1.实验目的: 使用modlsim进行仿真。 2.实验内容: 参照书里的代码,然后用modlsim进行仿真 3.实验原理 4实验工具: modlsim软件。 5.实验截图 6.实验视频 使用哔哩哔哩复制代码BV1464y127zj搜索 7.实验代码: module regn(D,Clock,Resetn,Q); parameter n=16; input [n-1:0]D; input Clock,Resetn; output reg [n-1:0]Q; always @(negedge Resetn,原创 2021-06-27 15:57:04 · 98 阅读 · 0 评论 -
2021-06-26
1.实验目的: 下载Quartur ii软件和modlsim并进行联合仿真。 2.实验内容: 参照哔哩哔哩中教程的代码,然后用quartus ii和modlsim进行联合仿真 3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作。 4实验工具: pc机和Quartur ii软件和modlsim软件。 5.实验截图: 6.实验视频: 请下载哔哩哔哩动画打开此网址: https://b43.tv/BOzAiI 7.实验代码: module test( input wire [7:0] a, i原创 2021-06-26 23:23:08 · 72 阅读 · 0 评论 -
2021-05-07
7.视频网址,打开哔哩哔哩可搜索http://www.bilibili.com/video/BV1464y127zj?p=1&share_medium=android&share_source=copy_link&bbid=XYA0C64A769CAE17B07219718D3CB658FCC22&ts=1620383724864原创 2021-05-07 18:46:33 · 103 阅读 · 0 评论