ASV断言的控制

局部控制:disable iff。

property my_prop;
@(posedge clk) disable iff(!rst_n) a |-> b ##1 c;
endproperty

全局控制:
$ assertoff暂时关闭所有断言的执行。$ asserton重新启动断言执行。如果在执行$ assertoff时断言正在执行,断言不会被终止。

$ assertkill将会终止你设计中的所有断言,包括已经执行的断言。$ asserton开重新启动断言执行。
注:在复位时,所有信号均发生改变,此时时序关系已经不满足,用assertkill()终止,否则将断言报错

     initial begin: assertion_control
        fork
            forever begin
                wait(rst_n == 0);
                    $assertkill();//终止断言
                wait(rst_n == 1);
                    $asserton();//重启断言
            end
        join_none
    end 
  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

东边坡

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值