自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(43)
  • 收藏
  • 关注

原创 新手学PCB画板选什么软件

Protel、AD、PADS与Allegro的比较与选择

2023-09-28 16:21:13 696

原创 ISE_ChipScope Pro的使用

ChipScope Pro Core Inserter 使用流程、ISE ChipScope的使用

2023-09-25 21:20:10 1137

原创 ise使用ChipScope时报错NgdBuild:604

ise报错NgdBuild:604

2023-09-23 19:19:50 313

原创 11 FPGA_简易电压表设计与验证(附代码)

板载AD/DA板卡:AD部分对应电路图:外载 AD/DA 板卡的 AD 部分使用高速 AD 芯片 AD9280, AD9280 由 ANALOG 公司生产, 是一款单芯片、 8 位、 32 MSPS 模数转换器(ADC),采用单电源供电,内置一个片内采样保持放大器和基准电压源。AD9280 采用+2.7 V 至+5.5 V 电源供电,非常适合高速应用中的低功耗操作,额定温度范围为-40°C 至+85°C 工业温度范围。

2023-09-23 17:36:26 589

原创 FPGA/数字IC(芯海科技2022)面试题 2(解析版)

FPGA\数字IC面试题

2023-09-17 17:31:38 525

原创 基于 I2C 协议的 AD实验(附代码)

I2C协议、状态机的使用、

2023-09-16 20:43:42 307

原创 FPGA/IC秋招面试题 1(解析版)

fpag面试选择题,数字IC面试选择题

2023-09-04 19:56:51 16655

原创 FPGA_时钟显示(时钟可调)

FPGA时钟显示、数码管动态显示、时钟可调

2023-08-06 19:01:59 1507 1

原创 FPGA_数码管显示UART串口接收的数据

UART串口、数码管显示、FPGA实验设计思路

2023-06-19 17:22:46 1583 6

原创 STM32_智慧农业环境测控系统(附代码)

stm32单片机开发、温湿度传感器、光敏传感器

2023-06-15 14:51:15 579

原创 FPGA—可乐机拓展训练题(状态机)

FPGA状态机、状态机拓展、利用状态机实现可乐售卖机

2023-05-24 18:08:29 1513 1

原创 09 FPGA—利用状态机实现可乐售卖机(附代码)

简单状态机、两段式状态机、状态机实现可乐售卖机

2023-05-22 17:23:33 1613 1

原创 10FPGA—呼吸灯(附代码)

fpga呼吸灯、PWM

2023-05-18 09:46:51 897 7

原创 08 FPGA—计数器与分频器的应用

计数器、分频器、FPGA小灯闪烁

2023-05-10 15:56:10 1238

原创 03FPGA—led灯的显示(入门)

fpga流水灯、FPGA入门小灯、FPGA小灯闪烁、FPGA开发流程

2023-05-09 11:04:29 1402 2

原创 FPGA—HDMI 显示器驱动设计与验证(附代码)

HDMI显示原理、TMDS最小差分传输技术、编码技术、原语

2023-04-21 16:40:27 3770 11

原创 FPG—VGA显示器字符显示(附代码)

设计思想在于显示有效区域是传输数据。说明:本人使用的是野火家Xilinx Spartan6系列开发板及配套教程主要用于自我学习,以上内容如有疑惑或错误欢迎评论区指出,或者移步B站观看野火家视频教程。开发软件:ise14.7 仿真:modelsim 10.5如需上述资料私信或留下邮箱。

2023-04-11 18:19:45 1000 5

原创 FPGA—VGA 显示器显示彩条(附代码)

VGA 显示器、VGA 接口及接口定义、 VGA 显示原理、VGA 显示器显示彩条

2023-04-04 19:33:48 4974 3

原创 FPGA—DHT11数字温湿度传感器

1. DH1T11温湿度传感器与DS18B20温湿度传感器非常类似,都是严格按照时序要求写代码。建议可以比较学习。2. 显示温度与湿度部分的数据处理,采用 X10 进行在数码管上的移一位显示,配合了数码管小数部分的显示特点又区分了温度与湿度。3. 程序是按照一个接一个状态及顺序跳转的,建议使用状态机。说明:本人使用的是野火家Xilinx Spartan6系列开发板及配套教程,以上内容如有疑惑或错误欢迎评论区指出,或者移步B站观看野火家视频教程。

2023-03-29 17:37:02 3393 5

原创 FPGA—DS18B20数字温度传感器(附代码)

测温、ds18b20传感器、温度转换、

2023-03-23 17:44:36 6903 15

原创 FPGA- 红外遥控(附代码)

1. 实验核心部分在于红外接收模块,要理解何时进行状态跳转以及判断其跳转的条件。*2. 代码编写上有些新的知识点,注意学习。说明:本人使用的是野火家Xilinx Spartan6系列开发板及配套教程,以下内容如有疑惑或错误欢迎评论区指出。开发软件:ise14.7 仿真:modelsim 10.5如需上述资料私信或留下邮箱!

2023-03-20 21:23:34 2153 2

原创 FPGA—简易频率计(附代码)

fpga开发、频率检测计、PLL应用、等精度检测法

2023-03-11 16:54:28 5590 34

原创 FPGA中task语法基本使用

FPGA中task的使用

2023-02-11 21:03:55 689

原创 FPGA—串口RS232(附实现代码)

FPGA中RS232通信协议、如何避免亚稳态、uart串口通信、数据串行转并行

2023-02-09 22:40:20 5585 8

原创 FPGA中FIFO IP核配置与调用

FIFO IP核开发与使用

2023-01-15 22:36:18 1877

原创 FPGA中ROM IP与RAM IP核配置与调用

ROM IP核、ROM IP核简单应用

2023-01-06 18:15:47 3495

原创 安装ise14.7时遇到的问题

ise下载,使用中遇到的故障

2022-12-21 18:26:43 10299 1

原创 FPGA开发法宝 IP核

FPGA IP核

2022-12-13 22:29:12 656

原创 单片机矩阵按键应用——动态显示

矩阵按键、数码管

2022-12-05 05:00:00 860

原创 07 FPGA阻塞赋值与非阻塞赋值

fpga阻塞赋值与非阻塞赋值、打拍、

2022-11-30 19:04:16 392

原创 数电常混淆的知识点(结合FPGA)

同步复位异步复位、竞争冒险、寄存器触发器、锁存器、时序电路、组合电路、数电常识

2022-11-30 18:10:14 272

原创 FPGA提示产生latch的报错

fpag产生latch原因、避免产生latch、latch报错、latch

2022-11-30 12:02:28 511

原创 06 FPGA组合逻辑—加法器(半加和全加)

fpga全加器、半加器,层次化设计,fpga基础入门

2022-11-30 10:40:32 2766

原创 05 FPGA组合逻辑——3-8译码器

FPGA—3-8译码器、数码显示

2022-11-29 20:40:43 634

原创 04FPGA组合逻辑—多路选择器(二选一)

FPGA多路选择器(二选一)、仿真验证、三目运算符

2022-11-29 10:12:08 6964 4

原创 MODELSIME仿真报错:输入波形蓝色(高阻),输出(红色)不定

MODELSIME仿真报错:输入波形蓝色(高阻),输出(红色)不定

2022-11-28 18:59:32 974

原创 FPGA动态数码管应用——60S计数

FPGA动态数码管、计数器、按键消抖

2022-11-28 18:38:35 2067

原创 [FPGA]verillog重点语法(新手入门教程)

[FPGA]verillog重点语法(新手入门教程)、Verilog语法

2022-11-21 16:31:43 791

原创 FPGA实现流水灯的多种实现方式

FPGA实现流水灯、Verilog基本语法、位拼接运算符、移位运算符

2022-11-21 12:31:31 3518

原创 01 初识FPGA(新手必看通俗版)

初识FPGA 技术 、与ASIC以及与单片机的区别、FPGA开发流程

2022-11-19 16:30:38 533

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除