为什么计算机中大部分小数是不精确的

在Java中可以用double(双精度,8字节)和float(单精度,84字节)来表示小数。

cee68893d4704a20985f98e06b267b04.png

 bbf7f69ff695448f88d90f89faf60a70.png

        以double为例;在数学计算中,d与c的乘积就等于0.2*0.4=0.08;但在图二中我们可以清楚的看到,计算机中d与c的乘积打印出来的结果却不是0.08。因为计算机中存储和运算都是通过二进制来实现的,而在二进制中不能准确的表示一个小数,只能保存一个无限接近于这个小数本身的值。

        我们可以通过十进制转为二进制的运算来解释说明。十进制小数转二进制小数的规则:1.整数转整数,小数转小数;                            2.整数部分转二进制为整数除以2;小数部分转二进制为把十进制的小数乘以2,结果大于1则在转换后的小数后记1,结果小于1则在转换后的小数后记0,直到结果为1.0结束。

例:

a79e69f547ba423694e9a8ec3af91e41.jpg

 ce92754fc4fe4c1a81897d12f772b666.jpg

 

 

 0.4转换为二进制为0.01100110…(0110循环)。计算机中无法用二进制精确的表示0.4,只能取一个无限接近的值来表示,所以说大部分小数在计算机中都是不精确的。

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 在SV(SystemVerilog),`real` 是一种数据类型,用于表示浮点数。它可以存储单精度(32位)和双精度(64位)浮点数。`real` 类型变量可以使用十进制或科学计数法表示。例如: ``` real myRealVar = 3.14159; real myOtherRealVar = 1.23e-4; ``` `real` 类型通常用于仿真和测试,以便在模拟设计时能够进行浮点运算。但在硬件设计,由于浮点运算比整数运算需要更多的计算资源,因此通常会避免使用 `real` 类型。 ### 回答2: 在计算机科学,sv(SystemVerilog)是一种硬件描述语言,用于描述数字电路和系统级硬件。在sv,real是一种基本的数据类型,用于表示实数。 实数是指包括整数、小数以及无理数在内的所有实数的集合。在sv,real类型可以存储任意大小的浮点数,以及NaN(Not a Number)、正无穷大和负无穷大等特殊的数值。 real数据类型在系统级设计具有重要作用,常见的应用包括模拟电路仿真和数值计算。例如,在模拟电路仿真,可以使用real类型来表示电压、电流等连续变化的物理量。在数值计算,real类型可以用于存储和处理需要高精度计算的实数数据。 需要注意的是,在硬件设计,由于硬件电路是离散的,实数数据通常需要进行离散化处理,在sv可以使用整数类型来表示离散化后的实数。 总结来说,sv的real数据类型用于表示实数,在系统级硬件设计具有广泛的应用。 ### 回答3: SV的real是“真实的”或“实际的”意思。 在软件工程和计算机科学领域,SV通常是指SystemVerilog,一种硬件描述语言。real是SystemVerilog的一种数据类型,它用于表示浮点数。 real类型可以用于存储和操作具有小数部分的数字。它可以表示正数、负数以及0。real类型提供了一些数学运算,如加法、减法、乘法和除法,以及相关的比较运算符。此外,它还支持取模运算符和幂运算。 使用real类型可以更精确地表示浮点数,而不需要舍入错误或近似值。这对于涉及科学计算、模拟和仿真的应用非常重要,因为这些领域通常需要高度准确的数据表示。 除了real类型,SV还提供其他数据类型,如整数(integer)、布尔(boolean)和字符串(string),以便开发人员能够适应不同的数据需求。这些不同的数据类型可以用于构建复杂的算法和逻辑,使开发人员能够有效地处理各种应用程序和系统设计。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值