自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 收藏
  • 关注

原创 可控硅过零检测程序和电路。 用旋钮编码电位器可实现旋钮调压,短按开关机

用旋钮编码电位器可实现旋钮调压,短按开关机,长按定时。单片机STC15w404AS。可控硅过零检测程序和电路。

2022-10-27 13:29:25 506

原创 FX3U源代码,stm32f103芯片全套源码,可以直接用works2编程直接写入

FX3U源代码,stm32f103芯片全套源码,可以直接用works2编程直接写入,非常适合参考学习。原理图,pcb,请使用ad软件打开。

2022-10-27 13:28:07 442

原创 步科触摸屏通讯台达vfd-m变频器示例

所需硬件:步科t070或其它支持485功能触摸屏(电脑在线模拟需要USB转485转换头),台达VFD-m变频器。功能实现:正反转,点动停止,频率设定,运行频率,电流,电压,运行状态的读取。步科触摸屏通讯台达vfd-m变频器示例。

2022-10-27 13:24:09 603

原创 搜集到的很好的labview框架

搜集到的很好的labview框架,里面有很多vi可以借鉴参考学习。1.该程序框架主要用来学习交流。2.软件是2018版,源码。

2022-10-27 13:21:06 706

原创 信捷原创程序,8个伺服轴。 PLC:信捷XDM-60T10 HMI:信捷TG765 总体I/O在200个点之内

程序步骤6600步,HMI页面30页,程序有注释。由于程序功能相对复杂,这里不介绍。可以看看编程思路和HMI编程风格。PLC:信捷XDM-60T10。总体I/O在200个点之内,编程思路框架极好,思路清晰。信捷原创程序,8个伺服轴。HMI:信捷TG765。

2022-10-27 13:20:09 570

原创 步进电机编码器闭环程序,西门子200PLC和威纶通触摸屏实控制步进电机

步进电机编码器闭环程序,西门子200PLC和威纶通触摸屏实控制步进电机本人自做威纶通触摸屏控制西门子200plc步进电机闭环程序,采用增量编码器查看是否存在丢步,若丢步大于10则报警,非常好的学习程序,带文字教程,适合初学者,威纶通采用eb8000编写,支持全系列机型。广州龙跃自动化科技有限公司。

2022-10-27 13:16:54 1155

原创 西门子1500plc,杀菌机功能比较齐整,程序灵活度很高,通过一级代码和二级代码

西门子1500plc,杀菌机功能比较齐整,程序灵活度很高,通过一级代码和二级代码,步骤和每步的动作都可在屏上更改,输出输入屏上灵活操作。

2022-10-27 13:15:03 168

原创 fpga 以太网w5500 SPI传输80MHz FPGA verilog TCP客户端驱动源码,8个SOCKET都可用

fpga 以太网w5500 SPI传输80MHz FPGA verilog TCP客户端驱动源码,8个SOCKET都可用,SPI频率80MHZ,硬件验证以通过。w5500 ip 核 w5500 软核,还有TCP服务端和UDP模式,联系联系我要那个,默认发TCP客户端。这个代码是用fpga驱动和使用w5500模块,做过优化,可能以达到w5500最高传输速度,学习必用之良品。

2022-10-27 13:14:00 1225

原创 昆仑mcgs 通讯控制台达B2伺服采用modbus rtu方式,昆仑屏直接控制台达b2伺服的正反转,停止及速度设定,简单好上手

昆仑mcgs 通讯控制台达B2伺服采用modbus rtu方式,昆仑屏直接控制台达b2伺服的正反转,停止及速度设定,简单好上手,a2。伺服也可以用,内容包含程序,教程,接线及参数设置。

2022-10-27 13:13:11 753

原创 s7-200和两台变频器modbus rtu通信程序采用西门子224xp

s7-200和两台变频器modbus rtu通信程序采用西门子224xp,配mcgs触摸屏,变频器一台三菱D700,一台台达vfd-m,通过modbus rtu程序可以控制变频器的正反转,停止,频率的设定,加减速,以及频率电流的读取。

2022-10-27 13:12:01 311

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除