自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Michael Dufresne

Carry on till tomorrow.

  • 博客(14)
  • 收藏
  • 关注

原创 ARM CoreSight相关模块简介

最近在学习ARM CPU软硬件开发,对于CoreSight看到很多模块,简单总结了下。

2023-10-22 09:55:48 886

原创 ARM开发流程LDS相关解惑

最近在学习ARM CPU软硬件开发,对于软件代码执行的入口地址以及软件代码在SRAM中的位置分布有些疑惑,特将学习过程记录一下。

2023-10-22 09:37:07 664

原创 ARM开发流程相关工具简介

开发人员编写源代码,使用 armcc 编译它,用 armasm 汇编汇编语言代码,用 armlink 链接目标文件和库,使用 armar 管理库,最后可能用 fromelf 处理 ELF 文件以得到最终的二进制映像或其他格式的文件。○ armcc 是 ARM 编译器的一部分,用于将源代码(通常是 C 或 C++ 代码)编译成目标代码。这是编写 ARM 应用程序的开发人员用来转换他们的源代码为可以在 ARM 处理器上运行的机器代码的工具。库是一组经常一起使用的目标文件的集合,可以被链接到其他程序中。

2023-10-21 20:59:00 448

原创 车规功能安全机制

这些机制的选择和实现取决于SoC的具体应用、其功能安全要求以及所需满足的ASIL级别。在设计车规SoC时,考虑功能安全的早期集成和综合验证至关重要。对于符合车规的芯片(特别是SoC),设计师们通常会引入多种功能安全机制来确保其在可能的故障情况下依然能够安全地运行。

2023-10-21 20:47:25 298

原创 前端设计跨异步处理手段

简单描述下笔者所了解的单bit和多bit信号跨时钟域处理的常见手段。

2023-09-30 09:20:07 169

原创 前端设计低功耗处理手段

一般考虑低功耗问题,主要是从系统供电、使用问题、性能问题、成本考虑、可靠性、竞品等几个方面展开。低功耗设计方法有很多:系统级而言,有动态调压调频(DVFS)、电源门控(Power Gating)、时钟门控(Clock Gating)等手段;深入到RTL底层,有很多跟代码风格相关的小技巧。

2023-09-29 12:38:58 146

原创 Linux vimrc文件配置说明

【代码】Linux vimrc文件配置说明。

2023-09-27 21:15:10 122

原创 关于multicycle约束的个人理解

在ASIC或FPGA设计中,multicycle约束是无法避免的一个问题,如若理解不清晰,容易造成错误的multicycle约束,本文简要阐述下个人理解的multicycle约束。一、Slow to Fast Clock Domain首先讨论下慢时钟域至快时钟域的multicycle设置,创建时钟如下:create_clock -name CLKS -period 20 -waveform {0 10} [get_ports CLKS]create_clock -name CLKD -per

2020-09-19 03:42:01 12626 5

原创 关于unique case和priority case语法

SystemVerilog对于case/casez/casex语句新增了两个特殊的修饰符:unique及priority。其语法规则如下:unique case (<case_expression>)... // case itemsendcasepriority case (<case_expression>)... // case itemsendcase一、unique casecase_expression同时只能匹配一个case_selection_

2020-09-08 00:54:36 4812

原创 关于full_case和parallel_case属性

许多SystemVerilog设计者在使用case语句时,不认真考虑,随便乱用full_case和parallel_case属性。这是一个很不好的习惯,在一般情况下,不应该使用这些属性。这两个属性只适合用于综合,并且有可能造成综合后产生的硬件电路功能不同于RTL仿真时的行为。一、full_case属性在SystemVerilog语言中,case语句的每个case项(即每个分支项的冒号左边的判断条件)依次与case表达式进行比较,如果相等,则执行该分支的表达式。所谓“full case”语句是指cas

2020-09-03 23:56:43 1780

原创 基于RedHat 6.7 环境 Git 安装教程

基于RedHat 6.7 的环境,尝试安装Git,踩了一些坑,查了一些资料,好歹最后成功了,在此分享下安装过程。一、安装Git环境需从官网下载源码进行安装,--prefix可指定安装路径,以 # 开头内容为注释。在最后一步会报错,因为没有安装zlib库。wget https://mirrors.edge.kernel.org/pub/software/scm/git/git-2.21.0.tar.gztar -zxvf git-2.21.0.tar.gzcd git-2.21.0...

2020-08-27 00:11:17 569

原创 第一个JSP+JDBC程序:用户登录程序实现

在之前学了一段时间SE之后,听闻应该继续学习EE神器,遂购书找视频自学之。经历了刚开始的一窍不通,各种软件工具的安装与配置,慢慢了解到JavaWeb开发的一些流程,最近跟着学习资料做了一个简单的实验(用户登录程序实现:JSP+JDBC),分享之。 工具:mysql-installer-community-5.7.21.0、MySQL Workbench 6.2 CE、jdk1.7.0_71、a

2018-02-02 21:53:23 7043 2

原创 SQL Server 2012的安装与环境配置以及在Java中连接数据库

自学Java也已快有一个月了,虽然本人从事的是FPGA开发的工作,但是对于软件开发兴趣盎然,没办法只能工作之余自己慢慢自学了,这应该是我的第一篇Java学习文章,希望以后自己能够坚持,记录一些学习过程,做一些有意思的事,慢慢实现自己的目标吧。一、SQL Server 2012数据库安装以及环境配置1. 近期学到了数据库操作这一章,之前没有接触过数据库,因而这两天花费了比较多的时间。由于要在

2018-01-11 20:23:29 10239 1

原创 关于verilog里阻塞与非阻塞赋值的个人理解

最近在做数字的东西,因此一直在学习verilog的语法,看的是夏宇闻老师的《verilog数字系统设计教程》这本书,在看到第14章深入理解阻塞与非阻塞赋值的不同时,结合书后面的誓言RISC_CPU,关于时序问题,产生了一些疑问,因此写了一个简单的程序,探索一下相关的内容,文笔拙劣,理解也并不完全正确,想写出来与大家分享一下,希望能够得到一些指点。

2015-01-20 21:34:52 1952 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除