H3C设备忘记登录密码,如何解决?

H3C设备忘记登录密码,如何解决?

  1. 使用console线将主机串口和设备的Console口连接。

  2. 使用终端仿真软件(Xshell、secureCRT等)登录设备,如下图所示,忘记密码。
    在这里插入图片描述

  3. 断电重启设备
    在这里插入图片描述

  4. 根据提示进行操作
    在这里插入图片描述
    密码恢复功能一般默认开启,不建议关闭。
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述

  5. 进入设备,重新设置登录密码
    在这里插入图片描述
    以用户名为admin为例:
    在这里插入图片描述
    在这里插入图片描述
    以AUX1用户线为例
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述

  • 2
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
《EDA仿真与实践实习》 学 院: 信息科学与工程学院 课题名称: 硬件描述语言设计 ——基于VHDL的电子密码锁的设计 班 级: 学 生: 学 号: 指导教师: 1 引言 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁 的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全 保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥 匙的密码锁应运而生。 基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功 耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体 ,以硬件描述语言(VHDL)为主要表达方式,以Quartus 5.1开发软件等为设计工具设计的 电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能 够满足社会对安全防盗的需求。 本设计的各个模块由相应的VHDL程序具体实现,并在Quartus 5.1环境下进行了整体 电路的模拟仿真,最终实现"密码锁控制器设计"的要求。 2 设计内容和要求 2.1 设计内容: 题目:电子密码锁 内容:设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开 锁时,方可开锁,并点亮一个指示灯。否则进入"错误"状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又 自动等待下一个开锁状态。 要求: (1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。 (2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。 (3)给出完整的系统顶层模块图与波形仿真图。 3 设计分案 密码锁控制器是硬件与软件的结合。根据设计要求,决定以FBDA芯片和VHDL语言设计此 电子密码锁。用一片FBDA芯片实现,从而大大简化了系统结构,降低了成本,提高了系 统的保密性和可靠性。这种设计不仅简化了系统结构,降低了成本,更提高了系统的可 靠性和保密性。另外,采用可编程逻辑器件开发的数字系统,方便地升级和改进。 3.1 系统总框图 本系统的硬件部分主要由密码锁控制电路、密码锁显示电路、LED显示电路、报警电 路、密码更改与设置电路组成。整体系统框图如下图2.1所示。 密码锁总框图 3.2 密码锁的内部结构及主要功能 3.2.1密码锁的主要功能 密码锁控制器的主要功能有: (1)密码输入:有二个按键来控制(分别代表0和1),每按下一个键,要求在数码管 上显示,并依次左移。 (2)密码校验:如果有按键按下,直到松开该按键;红绿灯指示门的状态,也就是密 码校验结果,如果密码校验正确,绿亮起,否则如果密码校验错误红灯亮,并凤鸣器响 ,表明密码错误。 (3)错误报警:密码输入错误开始报警。 (4)密码修改:输入密码正确后4秒内按按键输入要设置和更改的密码,按按键确认 密码设置与更改,则密码设置成功 4 系统硬件电路 4.1 密码锁的显示模块 段数码管是电子开发过程中常用的输出显示设备。在本设计中使用的是8个四位一体 、共阴极型七段数码管。其单个静态数码管如下图所示。 由于七段数码管公共端连接到GND(共阴极型),当数码管的中的一个段被输入高电 平,则相应的这一段被点亮。反之则不亮。共阳极性的数码管与之相反。四位一体的七 段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八 个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信 号来控制,被选通的数码管显示数据,其余关闭。 4.2 密码锁控制模块 该模块的作用是将输入的密码 (暂时寄存于 ACC中)跟已经存储的密码(REG中的密码信息)进行对比,如果一样,则密码锁开锁。 而在通过密码验证后可以按按键设定密码。在这里值得注意的是有一个密码"0000"为开 始密码,如果改名密码了但是又忘记密码,重新下载之后的初始密码只要输入的密码 为"0000"则都可通过密码锁。模块lock是整个设计的核心 ,它实现密码锁的逻辑功能。在任何时候按动密码初始化按键内密码设置为程序初始化密 码值(在本模块程序中此值为 "0000"代码为 4 位二进制数 ,当输入代码的位数和位值与锁内给定的密码一致 ,且按规定程序开锁时 ,方可开锁 ,并点亮开锁指示灯(緑灯)亮。否则 ,系统进入 "错误"状态 ,并发出报警信号。串行数字锁的报警方式是点亮指示灯(红灯) ,并使喇叭鸣叫 ,直到按下复位开关 ,报警才停止。此时 ,数字锁又自动进
《EDA仿真与实践实习》 学 院: 信息科学与工程学院 课题名称: 硬件描述语言设计 ——基于VHDL的电子密码锁的设计 班 级: 学 生: 学 号: 指导教师: 1 引言 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁 的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全 保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥 匙的密码锁应运而生. 基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功 耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体 ,以硬件描述语言(VHDL)为主要表达方式,以Quartus 5.1开发软件等为设计工具设计 的电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此, 能够满足社会对安全防盗的需求. 本设计的各个模块由相应的VHDL程序具体实现,并在Quartus 5.1环境下进行了整体 电路的模拟仿真,最终实现"密码锁控制器设计"的要求. 2 设计内容和要求 2.1 设计内容: 题目:电子密码锁 内容:设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开 锁时,方可开锁,并点亮一个指示灯.否则进入"错误"状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好. (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁 又自动等待下一个开锁状态。 要求: (1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。 (2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。 (3)给出完整的系统顶层模块图与波形仿真图. 3 设计分案 密码锁控制器是硬件与软件的结合。根据设计要求,决定以FBDA芯片和VHDL语言设计此 电子密码锁。用一片FBDA芯片实现,从而大大简化了系统结构,降低了成本,提高了系 统的保密性和可靠性.这种设计不仅简化了系统结构,降低了成本,更提高了系统的可靠性 和保密性。另外,采用可编程逻辑器件开发的数字系统,方便地升级和改进。 3。1 系统总框图 本系统的硬件部分主要由密码锁控制电路、密码锁显示电路、LED显示电路、报警电 路、密码更改与设置电路组成。整体系统框图如下图2.1所示. 密码锁总框图 3。2 密码锁的内部结构及主要功能 3。2.1密码锁的主要功能 密码锁控制器的主要功能有: (1)密码输入:有二个按键来控制(分别代表0和1),每按下一个键,要求在数码管 上显示,并依次左移。 (2)密码校验:如果有按键按下,直到松开该按键;红绿灯指示门的状态,也就是密码 校验结果,如果密码校验正确,绿亮起,否则如果密码校验错误红灯亮,并凤鸣器响,表 明密码错误。 (3)错误报警:密码输入错误开始报警。 (4)密码修改:输入密码正确后4秒内按按键输入要设置和更改的密码,按按键确认密 码设置与更改,则密码设置成功 4 系统硬件电路 4。1 密码锁的显示模块 段数码管是电子开发过程中常用的输出显示设备。在本设计中使用的是8个四位一体 、共阴极型七段数码管。其单个静态数码管如下图所示。 由于七段数码管公共端连接到GND(共阴极型),当数码管的中的一个段被输入高电 平,则相应的这一段被点亮。反之则不亮。共阳极性的数码管与之相反。四位一体的七 段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八 个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信 号来控制,被选通的数码管显示数据,其余关闭. 4。2 密码锁控制模块 该模块的作用是将输入的密码 (暂时寄存于 ACC中)跟已经存储的密码(REG中的密码信息)进行对比,如果一样,则密码锁开锁。 而在通过密码验证后可以按按键设定密码。在这里值得注意的是有一个密码"0000"为开 始密码,如果改名密码了但是又忘记密码,重新下载之后的初始密码只要输入的密码为 "0000"则都可通过密码锁。模块lock是整个设计的核心 ,它实现密码锁的逻辑功能。在任何时候按动密码初始化按键内密码设置为程序初始化 密码值(在本模块程序中此值为 "0000"代码为 4 位二进制数 ,当输入代码的位数和位值与锁内给定的密码一致 ,且按规定程序开锁时 ,方可开锁 ,并点亮开锁指示灯(緑灯)亮.否则 ,系统进入 "错误"状态 ,并发出报警信号。串行数字锁的报警方式是点亮指示灯(红灯) ,并使喇叭鸣叫 ,直到按下复位开关 ,报警才停止。此时 ,数字锁又自动进
《EDA仿真与实践实习》 学 院: 信息科学与工程学院 课题名称: 硬件描述语言设计 ——基于VHDL的电子密码锁的设计 班 级: 学 生: 学 号: 指导教师: 1 引言 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁 的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全 保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥 匙的密码锁应运而生。 基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功 耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体 ,以硬件描述语言(VHDL)为主要表达方式,以Quartus 5.1开发软件等为设计工具设计的 电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能 够满足社会对安全防盗的需求。 本设计的各个模块由相应的VHDL程序具体实现,并在Quartus 5.1环境下进行了整体 电路的模拟仿真,最终实现"密码锁控制器设计"的要求。 2 设计内容和要求 2.1 设计内容: 题目:电子密码锁 内容:设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开 锁时,方可开锁,并点亮一个指示灯。否则进入"错误"状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又 自动等待下一个开锁状态。 要求: (1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。 (2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。 (3)给出完整的系统顶层模块图与波形仿真图。 3 设计分案 密码锁控制器是硬件与软件的结合。根据设计要求,决定以FBDA芯片和VHDL语言设计此 电子密码锁。用一片FBDA芯片实现,从而大大简化了系统结构,降低了成本,提高了系 统的保密性和可靠性。这种设计不仅简化了系统结构,降低了成本,更提高了系统的可 靠性和保密性。另外,采用可编程逻辑器件开发的数字系统,方便地升级和改进。 3.1 系统总框图 本系统的硬件部分主要由密码锁控制电路、密码锁显示电路、LED显示电路、报警电 路、密码更改与设置电路组成。整体系统框图如下图2.1所示。 密码锁总框图 3.2 密码锁的内部结构及主要功能 3.2.1密码锁的主要功能 密码锁控制器的主要功能有: (1)密码输入:有二个按键来控制(分别代表0和1),每按下一个键,要求在数码管 上显示,并依次左移。 (2)密码校验:如果有按键按下,直到松开该按键;红绿灯指示门的状态,也就是密 码校验结果,如果密码校验正确,绿亮起,否则如果密码校验错误红灯亮,并凤鸣器响 ,表明密码错误。 (3)错误报警:密码输入错误开始报警。 (4)密码修改:输入密码正确后4秒内按按键输入要设置和更改的密码,按按键确认 密码设置与更改,则密码设置成功 4 系统硬件电路 4.1 密码锁的显示模块 段数码管是电子开发过程中常用的输出显示设备。在本设计中使用的是8个四位一体 、共阴极型七段数码管。其单个静态数码管如下图所示。 由于七段数码管公共端连接到GND(共阴极型),当数码管的中的一个段被输入高电 平,则相应的这一段被点亮。反之则不亮。共阳极性的数码管与之相反。四位一体的七 段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八 个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信 号来控制,被选通的数码管显示数据,其余关闭。 4.2 密码锁控制模块 该模块的作用是将输入的密码 (暂时寄存于 ACC中)跟已经存储的密码(REG中的密码信息)进行对比,如果一样,则密码锁开锁。 而在通过密码验证后可以按按键设定密码。在这里值得注意的是有一个密码"0000"为开 始密码,如果改名密码了但是又忘记密码,重新下载之后的初始密码只要输入的密码 为"0000"则都可通过密码锁。模块lock是整个设计的核心 ,它实现密码锁的逻辑功能。在任何时候按动密码初始化按键内密码设置为程序初始化密 码值(在本模块程序中此值为 "0000"代码为 4 位二进制数 ,当输入代码的位数和位值与锁内给定的密码一致 ,且按规定程序开锁时 ,方可开锁 ,并点亮开锁指示灯(緑灯)亮。否则 ,系统进入 "错误"状态 ,并发出报警信号。串行数字锁的报警方式是点亮指示灯(红灯) ,并使喇叭鸣叫 ,直到按下复位开关 ,报警才停止。此时 ,数字锁又自动进

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值