FPGA初学
mindlead
这个作者很懒,什么都没留下…
展开
-
FPGA 基础学习之二 DEBUG LLA
Vivado和ISE相比ChipScope已经大幅改变,很多人都不习惯。在ISE中称为ChipScope而Vivado中就称为in system debug。下面就介绍Vivado中如何使用debug工具。Debug分为3个阶段:1. 探测信号:在设计中标志想要查看的信号2. 布局布线:给包含了debug IP的设计布局布线3. 分析:上板看信号一 探测信号探测信号有2种...转载 2019-09-09 20:10:52 · 931 阅读 · 0 评论 -
FPGA入门之一 标准LED灯试验
一、按键按下实现LED点亮当LED引脚输出低电平时LED点亮,(1)代码实现如下`timescale 1ns / 1psmodule myled(clk,rst_n,led);input clk;input rst_n;output reg led;always @(posedge clk or negedge rst_n)beginif(!rst_n) led...原创 2019-09-09 20:56:59 · 315 阅读 · 0 评论 -
FPGA入门之三 Verilog实现LED流水灯 计数器+状态机+分频
如果点亮四个LED呢LED位宽为4,`timescale 1ns / 1psmodule myled(clk,rst_n,led);input clk;input rst_n;output reg [3:0]led;always @(posedge clk or negedge rst_n)beginif(!rst_n) led <= 4'b0000;els...转载 2019-09-10 18:04:33 · 6549 阅读 · 1 评论