关于allegro16.6 orCAD capture CIS 创建网表出现错误的问题解决方法

1) Choose Start > Run to open the Run window.
2) Type cmd to open the command line window
3) Go to <Install_dir>\tools\capture, where <Install_dir> is the path for the OrCAD Capture  installation directory.
4) Type the following two commands, one at a time in the command line window: 
    regsvr32 orpxllite.ocx
    regsvr32 ortruereuse.ocx
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值