自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Welcome To Myon'Blog !

博客内容主要涉及网络安全、信息对抗领域,包括CTF各个方向和AWD比赛,主要是Web和Misc,以及HVV行动的相关介绍,对于Kali Linux、渗透测试、漏洞利用、Python、PHP、C++、数电、模电以及部分大学课程的相关的学习

  • 博客(408)
  • 收藏
  • 关注

原创 基于Cardinal的AWD攻防平台搭建与使用以及基于docker的题目环境部署

正常 ./ 执行我们需要一直在这个终端挂着,否则Cardinal 就会断掉,靶场页面也就无法访问。因为我们的 mysql 数据库下还没有 test 这个用户,我们需要手动创建并赋予权限 ,test 这个用户相当于是我们平台的一个后台管理账户,因此这里直接给 root 权限。这样,即使我们关闭终端,Cardinal 也会一直处于运行状态。。

2024-06-27 13:21:53 1382

原创 利用ngrok实现内网穿透(全网最详细教程)

准备工具:1、用于在本地搭建网站2、用于将自己的本地端口暴露到公网上,从而实现内网穿透,注意:我前面提到过的闪退就是在这一步发生的,我的解决方法是重装ngrok并以管理员身份运行。至此,我们已经实现了内网穿透,通过将我们的本地端口暴露在特定域名地址的公网上,进而从公网访问到了内网的东西。

2023-11-26 13:36:51 7643

原创 CTF靶场搭建及Web赛题制作与终端docker环境部署

这种情况意思是docker需要我们指定下载镜像的版本号。哈喽大家好!我是Myon,趁着我的云服务器还没过期,这次给大家出一期很详细的CTF比赛的靶场搭建以及关于Web赛题的制作与docker环境的部署教程,由于本人能力有限,也只能给大家讲一些基础简单的东西,欢迎大家在评论区互相交流学习,希望通过这篇博客大家能学到一些新东西,也期待大家的关注和支持!

2023-11-22 19:26:29 6182 3

原创 PHP特性之CTF中常见的PHP绕过

一、关于md5()和sha1()的常见绕过1、使用数组绕过2、 使用特殊字符串绕过二、strcmp绕过三、switch绕过四、intval绕过

2023-07-18 14:32:22 6067 1

原创 主动扫描-Nmap-端口、系统、服务扫描

一、使用Nmap进行端口扫描① SYN扫描② Connect扫描③ UDP扫描④ 端口扫描范围的确定二、使用Nmap扫描目标系统三、使用Nmap扫描目标服务3、Nmap的完善四、将Nmap的扫描结果保存为XML文件五、对Web服务进行扫描2、Web服务器的软件组成③ 服务器应用程序④ 操作系统3、以DVWA为目标的web服务扫描① dirb内容扫描 ② dirsearch内容扫描③ whatweb网络指纹识别

2023-03-25 21:08:01 11440

原创 Kali Linux 的常用命令

Kali Linux 的常用命令 1、与文件目录相关的命令 2、文件查看命令 3、网络相关命令 4、进程控制命令 其他常用命令 hosts文件 脚本编写

2023-03-12 20:07:31 9357 2

原创 ctfshow-web入门-php特性(web147-web150_plus)

:匹配字符串的开头。$:匹配字符串的结尾,确保整个字符串符合规则。[a-z0-9_]:表示允许小写字母、数字和下划线。*:匹配零个或多个前面的字符。/i:忽略大小写。s:匹配包括换行符在内的所有字符。D(PCRE 特有):美元符号 $ 仅匹配字符串的实际末尾,不匹配结尾的换行符。这里只需要让 $ctfshow 里面有其他字符即可满足 if 判断。在 PHP 中,命名空间(namespace)提供了一种组织代码的方式,可以避免类、函数和常量名称的冲突。

2024-07-27 15:54:21 990

原创 ctfshow-web入门-php特性(web142-web146)

要求 v1 是数字,之后将 v1乘以 0x36d(即16进制的869)五次,然后将结果转换为整数并赋值给变量 $d,使用 sleep 函数使程序休眠 $d 秒,最后读取flag.php文件的内容并输出到浏览器。在 web141 的基础上新增过滤加减、取反、或,我们可以使用乘除号代替加减号,取反、或不能使用我们还可以采用异或运算构造 payload。这里过滤的是异或,我们采用或、取反都可以,加减乘除都被过滤,可以用位或运算符 |。这里我们先构造 ("system")("ls")

2024-07-27 11:44:51 573

原创 ctfshow-web入门-php特性(web137-web141)

查看源码:拿到 flag:ctfshow{dd387d95-6fbe-4703-8ec5-9c8f9baf2bb5}在 php 中,-> 用于访问类的实例成员(属性和方法),我们需要先实例化类,然后通过实例对象来调用其成员;而 :: 用于访问类的静态成员(静态属性和静态方法)和常量,静态成员属于类本身,而不是任何具体实例,因此不需要实例化类即可调用它们。

2024-07-26 20:35:55 1155

原创 ctfshow-web入门-php特性(web132-web136)

mt_rand(1, 0x36D) 会生成一个 1 到 877(十六进制0x36D)的随机数,因此 $code === mt_rand(1,0x36D) 这个条件很难满足,因为每次都会生成一个新的随机数,$code 很难与这个随机数相等。tr -cd 'a-zA-Z0-9-' 这个命令会删除所有不是字母、数字、减号的内容,原本我想将大括号也保留,但是试了下不行。flag 分为了两段,flag1 和 flag2,拼接起来,字母都改成小写字母,添加上大括号即可。

2024-07-25 17:09:47 1247 1

原创 ctfshow-web入门-php特性(web127-web131)

将 $flag 变量进行 MD5 哈希运算,并将结果赋值给 $ctf_show。获取当前请求的查询字符串(query string),查询字符串是 URL 中位于问号 (?) 之后的部分,通常包含一个或多个参数和值。之后对查询字符串采用正则匹配过滤掉了一些符号,符合要求则会将 $_GET 数组中的键值对作为变量导入到当前的符号表中。换句话说,extract($_GET);会将 URL 查询参数中的每个键值对转换成同名的变量。最后要求 $ctf_show==='ilove36d' 就会输出 flag。

2024-07-17 14:40:10 674

原创 ctfshow-web入门-php特性(web123、web125、web126)

post 传入 CTF_SHOW 和 CTF_SHOW.COM 确保 isset($_POST['CTF_SHOW']) && isset($_POST['CTF_SHOW.COM']) 这部分条件为真,fun=eval($a[0]) 将 eval($a[0]) 的代码传递给 $c。最后 判断 if($fl0g === "flag_give_me"),因为 $fl0g 被正确地设置为了 'flag_give_me',所以这个条件为真,因此,echo $flag;

2024-07-16 16:27:37 1161

原创 关于任务栏图标变白的原因及解决方法(以 QQ 为例)

如果你也是因为移动改变了程序所在位置,那么这里的两个路径应该是不正确的,将目标和起始位置的路径改成你程序移动后的正确路径,修改好之后点击确定。当然,其实你也可以删掉原来的快捷方式,来到程序移动后所在位置,重新创建快捷方式,这样就可以确保上面说的两个路径是正确的,就不用手动修改了。如果你没有删掉原来的变白的快捷方式,将鼠标悬停在空白图标上,右键,继续悬停到 QQ 上,继续右键,之后点击属性。确保你上面的那个路径下的东西确实存在,比如我这里就是 QQ.exe 的路径。如下图所示,qq 图标变白了,

2024-07-16 13:51:44 501

原创 ctfshow-web入门-php特性(web109-web115)

php 中查看目录的函数有:scandir()、golb()、dirname()、basename()、realpath()、getcwd() ,其中 scandir()、golb() 、dirname()、basename()、realpath() 都需要给定参数,而 getcwd() 不需要参数,getchwd() 函数会返回当前工作目录。对于 trim() 函数会去除空格( %20)、制表符(%09)、换行符(%0a)、回车符(%0d)、空字节符(%00)、垂直制表符(%0b),

2024-07-15 17:01:50 1040

原创 ctfshow-web入门-php特性(web104-web108)

需要传入的 v1 和 v2 进行 sha1 加密后相等。解法1:这里都没有判断 v1 和 v2 是否相等,我们直接传入同样的内容加密后肯定也一样。?v2=1post:v1=1拿到 flag:ctfshow{bd4eea6b-872d-462c-b8f2-20f48d3ee652}解法2:对于 php 强比较和弱比较:md5(),sha1() 函数无法处理数组,如果传入的为数组,会返回 NULL ,两个数组经过加密后得到的都是 NULL ,也就是相等的。?

2024-07-14 15:36:54 797

原创 ctfshow-web入门-php特性(web100-web103)is_numeric 函数绕过

我们只需要构造输出 ctfshow 这个类即可。虽然逻辑运算符的优先级比赋值运算符要高,但是如果逻辑运算符和赋值运算符连用时,往往允许存在先进行赋值运算,后再进行逻辑运算的顺序。需要满足 if 语句才会进入后面的判断,因此要求 v0 为 1,这里用的是 and,所以只需要满足 v1是数字即可。要求 v2 中不能有分号,v3 中需要有分号。如果上述要求都满足,则会调用 eval 函数。代入 eval 函数实际就是执行:");

2024-07-13 17:10:44 1040

原创 ctfshow-web入门-php特性(web96-web99)

如果 $_GET 不为空,也就是说我们进行了 get 传参,那么就会通过 $_GET = &$_POST 将 $_POST 的引用赋值给 $_GET,引用赋值导致两个变量指向同一个内存地址,而 $_POST 变量内容改变会影响 $_GET 变量的内容;$_GET 变量是一个数组,预定义的 $_GET 变量用于收集来自 method="get" 的表单中的值,表单域的名称会自动成为 $_GET 数组中的键。isset()函数用于检查变量是否设置,如果设置了,则 id=$_GET['id'],否则 id=1。

2024-07-13 11:40:41 1070

原创 ctfshow-web入门-php特性(web89-web95)intval 函数绕过

如果第一次匹配成功,再次使用正则表达式匹配 $a 是否等于字符串“php”,但这次只有不区分大小写,是单行模式,不会匹配多行,如果第二次没有匹配成功就会输出 flag。也就是说,如果 num 中不包含数字 "0" 就会终止程序,但是还有一种情况,如果这个 0 出现在开头,虽然是找到了有 0 这个字符,但是返回位置为 0 ,if (!== 是相等运算符,在进行比较时,会先将字符串类型转化成相同,再比较,比如比较一个数字和字符串或者比较涉及到数字内容的字符串,字符串会被转换成数值并按照数值来进行比较。

2024-07-12 11:47:12 789

原创 ctfshow-web入门-文件上传(web166、web167)&(web168-web170)免杀绕过

查看源码,前端只让传 zip上传 zip 成功后可以进行下载随便搞一个压缩包,使用记事本编辑,在其内容里插入一句话木马:上传该压缩包,上传成功后点击下载文件,使用 burpsuite 抓包:我这里木马内容用的 request ,就在 get 里执行 ls 没什么问题,但是读取 flag 时识别有点问题,最好使用 post 请求,因此将请求方法改为 post ,将 file 的内容还原到上面。

2024-07-11 16:21:08 938

原创 ctfshow-web入门-文件上传(web164、web165)图片二次渲染绕过

web164 和 web165 的利用点都是二次渲染,一个是 png,一个是 jpg二次渲染:网站服务器会对上传的图片进行二次处理,对文件内容进行替换更新,根据原有图片生成一个新的图片,这样就会改变文件原有的一些内容,我们需要将一句话木马插入到数据不会被改变的位置,确保一句话木马不会受到二次渲染的影响。

2024-07-09 15:43:00 1278

原创 ctfshow-web入门-文件上传(web161、web162、web163)远程包含

后面又去 b 站看了下视频,发现它远程包含的就是一个 php 文件,而我这里包含的 shell 是一个纯文本的东西,我最开始以为是将这段内容包含进了 index.php 的代码里,所以就没有考虑它不是 php 后缀是否可以正常解析的问题。后面问了群里的师傅,说用 flask,我原本用的是 Apache 搭的服务,重置了服务器,Apache 和 php 其实都是刚下的,可能还需要配置点什么吧,不清楚,因此我们将木马放到 flask 服务上去。那么我们上传的文件名就不使用后缀即可:这里以 test 为例。

2024-07-09 14:59:30 1176

原创 阿里云 Ubuntu 开启允许 ssh 密码方式登录

再次进行上述操作,修改配置文件允许使用密码登录,是没有问题的,可以保存。在阿里云控制台重置实例密码后无法使用密码进行 SSH 连接登录。使用一开始我们重置的 root 密码进行 ssh 登录。这里进来是 admin 普通用户权限。先使用其他用户登录到服务器。

2024-07-08 10:35:25 509 1

原创 ctfshow-web入门-文件上传(web151-web160)

试了下前端只能传 png 后缀的将一句话木马改成 png 后缀,上传后用 burpsuite 抓包绕过前端检测后,改回 php 后缀,发包拿到 flag:ctfshow{e3bd0332-4d5f-4255-941f-a2e6095c4f17}我们还可以直接改它前端验证的代码:原本只支持上传 png 后缀的文件我们加一个 php 后缀进去,即可直接上传 php 后缀的文件。

2024-07-07 12:27:30 955 1

原创 ctfshow-web入门-文件包含(web88、web116、web117)

没有过滤冒号 : ,可以使用 data 协议,但是过滤了括号和等号,因此需要编码绕过一下。这里有点问题,我 ('ls') 后加上分号发现不行,可能是编码结果有加号,题目做了过滤去掉分号得到 PD9waHAgc3lzdGVtKCdscycpPz4=,传入时要把等号去掉,因为题目过滤掉了等号,否则会触发 die 函数,即使去掉等号,base64 解码也是

2024-07-06 16:10:35 992

原创 ctfshow-web入门-文件包含(web87)巧用 php://filter 流绕过死亡函数的三种方法

但是我们传入的一句话木马也是 XML 标签,如何让它只去除 而不破坏我们传入的木马呢?我们可以先对一句话木马进行 base64 编码后传入,这样就不会受到strip_tags 函数的影响,当去除掉 后,由于 php://filter 允许使用多个过滤器,我们再调用 base64-decode 将一句话木马进行 base64 解码,实现还原。

2024-07-06 12:41:50 1065

原创 ctfshow-web入门-文件包含(web82-web86)条件竞争实现session会话文件包含

file_get_contents 函数将会读取文件的全部内容并将其作为字符串返回,strpos($content, "

2024-07-05 16:48:58 1384 4

原创 ctfshow-web入门-文件包含(web78、web79、web80、web81)

中间件的日志文件会保存网站的访问记录,比如HTTP请求行,User-Agent,Referer等客户端信息,如果在HTTP请求中插入恶意代码,那么恶意代码就会保存到日志文件中,访问日志文件的时候,日志文件中的恶意代码就会执行,从而造成任意代码执行甚至获取shell。此外我们也可以使用 data:// 协议进行代码执行,其实和 php://input 是一样的,让用户可以控制输入流,当它与文件包含函数结合时,用户输入的 data:// 流就会被当作 php 文件执行。会将我们输入的 php 替换为问号?

2024-07-04 14:42:56 1387

原创 ctfshow-web入门-命令执行(web119、web120、web121、web122)

被解释成了 1,因此 ${HOME::$?这里出现了 3,放到前面的题去试了下,BASH 和 SHELL 的依旧是不行,难道都不是 /bin/bash吗,很奇怪。这里当前登录的用户名应该是 www-data,我最开构造的是 a ,取变量的最后一个字母,也就是 /bin/?

2024-07-03 17:18:15 1026

原创 ctfshow-web入门-命令执行(web118详解)Linux 内置变量与Bash切片

示例:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin。描述:存储一系列路径,这些路径用于查找可执行文件,当你在命令行中输入命令时,系统会在这些路径中查找对应的可执行文件。提取从第二个字符开始的两个字符,即 ro,在 Bash 中,字符串切片的索引也是从 0 开始的。以此类推,最终将这些数字应用到切片中去,绕过对数字的过滤,构造出我们想要执行的命令。在Bash中,${#var} 的语法用于获取变量 var 的长度(即字符数)。

2024-07-03 12:03:47 1112

原创 ctfshow-web入门-命令执行(web75-web77)

利用 mysql load_file 读文件,提示中是从数据库 ctftraining 中查询的,就算我们不知道这个数据库名,也可以直接从默认的 information_schema 中查,该数据库包含了所有的数据库的内容。(readflag 这个东西在前面的题里面遇到过,它是一个可执行的二进制文件,执行它即可获取 flag,这里为什么要用这个 readflag 而不是直接读取 flag36x.txt 我们后面再说)接下来的三个字符 r-- 表示文件所有者(root)具有读取权限,但没有写入或执行权限。

2024-07-01 17:16:04 1223

原创 ctfshow-web入门-命令执行(web71-web74)

我们直接在执行完 include 包含语句后执行 exit 强制退出,从而实现绕过 preg_replace 的执行。拿到 flag:ctfshow{3deb8d00-68e6-4c20-a971-3fbf3382ec45}拿到 flag:ctfshow{8fccf5bc-5681-4815-b7ce-0b81772b6f7c}拿到 flag:ctfshow{35309fb4-36c5-4080-874a-6eaa85e03ff0}才发现这道题给了 index.php 的源码。

2024-06-30 15:08:39 459

原创 ctfshow-web入门-命令执行(web66-web70)

先使用scandir() 进行目录扫描,对于 txt 文件,我们使用 include 进行包含就可以直接看到文件内容,ini_set用来设置php.ini的值,在函数执行的时候生效,脚本结束后,设置失效。

2024-06-30 13:26:51 553

原创 ctfshow-web入门-命令执行(web59-web65)

都是使用 highlight_file 或者 show_source。

2024-06-30 12:34:44 243

原创 ctfshow-web入门-命令执行(web56、web57、web58)

由于前面说的只有 -1,因此我们对 36 进行取反,得到 -37,对 -37 再次取反即可得到 36。这里说了 flag 在 36.php,那么我们只需要构造 36,但是数字被过滤了。根据 $((~ $(()) ))=-1,我们进行拼接,构造出 -37。命令执行,需要严格的过滤,已测试,可绕。

2024-06-29 22:50:49 655

原创 ctfshow-web入门-命令执行(web53-web55)

这里的代码有点不一样,说一下这两种的区别:(1)直接执行 system($c);这种方式会直接执行命令 $c 并将命令的输出直接发送到标准输出(通常是浏览器);不会返回命令的输出值,因此不能对输出结果进行进一步处理。(2)使用一个参数来接受 system 的返回值后再输出它这种方式不仅会执行命令 $c,而且会将命令的最后一行输出结果赋值给变量 $d;然后通过 echo "".$d;将变量 $d 的内容输出到标准输出;

2024-06-10 18:21:24 1109

原创 ctfshow-web入门-命令执行(web43-web52)关于黑洞“ >/dev/null 2>&1“的处理与绕过

注意:我们传入的 c=%09 是 URL 编码,在 URL 编码中,%09 表示 ASCII 编码中的水平制表符(Tab 键),而不是数字 '0' 和 '9'。拿到 flag:ctfshow{f0f59065-df11-4cec-b502-fc9a7e2c48c8}拿到 flag:ctfshow{27fb4c37-7767-412f-9389-0d90b5a9a361}拿到 flag:ctfshow{f043c560-aaea-4e22-9072-e6a5eb08d059}cut:用于从文本文件中剪切列。

2024-06-10 14:41:52 1056 1

原创 ctfshow-web入门-命令执行(web42知识铺垫与四种常见截断方法)

2>&1 是另一个重定向操作符,它将标准错误(stderr)重定向到标准输出(stdout),>&1 表示将标准错误重定向到标准输出所指向的地方(即 /dev/null),这意味着命令的所有错误信息也会被丢弃。/dev/null 是类 Unix 操作系统中的一个特殊文件,被称为“空设备”或“黑洞”,它是一个字符设备文件,其主要作用是丢弃所有写入它的数据,同时任何从它读取的操作都会立即返回EOF(文件结束符)。对于一个与,前面的语句为假则直接执行后面的,前面可真可假,后面命令都会执行;

2024-06-09 11:16:14 927

原创 ctfshow-web入门-命令执行(web41_exp与分析)

preg 是题目的正则匹配规则,在 ASCII 可见字符范围内,先排除掉正则表达式匹配的字符,将其他可用的字符进行按位或运算,再将运算得到的可见字符,以及参与或运算结果为可见字符的组合转为 16 进制拼接 % 后写入 txt 文件。过滤掉了数字、字母以及一些符号,之前接触过的无字母 rce 是取反编码再取反,采用不可见字符去绕过正则,但是这里取反符号被过滤掉了,但是注意到或符号被放出来了,下面附上这种类型题目的相关脚本,并给出一定解释。(2)php 可用字符生成脚本(这个的生成方式可以选择或和异或操作)

2024-06-08 17:41:26 680 2

原创 ctfshow-web入门-命令执行(web37-web40)

中间件的日志文件会保存网站的访问记录,比如HTTP请求行,User-Agent,Referer等客户端信息,如果在HTTP请求中插入恶意代码,那么恶意代码就会保存到日志文件中,访问日志文件的时候,日志文件中的恶意代码就会执行,从而造成任意代码执行甚至获取shell。将我们想要执行的代码包含进去,其实和 php://input 是一样的,让用户可以控制输入流,当它与文件包含函数结合时,用户输入的 data:// 流就会被当作 php 文件执行。就会显示容器有问题了。我们也可以采用编码绕过,对

2024-06-07 17:25:56 1705

原创 ctfshow-web入门-命令执行(web30-web36)

命令执行,需要严格的过滤。

2024-06-06 15:57:16 999 1

西科大模电、电磁波、计网期末复习题

西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电磁波、计网期末复习题西科大模电、电

2024-05-26

西科大数据结构实验课程代码

西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结构实验课程代码西科大数据结

2024-05-26

西科大数据结构期末复习题

西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题西科大数据结构期末复习题

2024-05-26

模拟电子技术实验虚拟实验平台

西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟实验平台西科大模电实验模拟电子技术实验虚拟

2024-05-26

网络穿透工具之EW(包含Windows、Linux、Mac等系统的版本)

该压缩包包含EW for Linux、Windows、Mac等操作系统的版本。EW 是一套便携式的网络穿透工具,具有 SOCKS v5服务架设和端口转发两大核心功能,可在复杂网络环境下完成网络穿透。 注: 考虑到该工具影响很坏,该工具永久停止更新 该压缩包包含EW for Linux、Windows、Mac等操作系统的版本。EW 是一套便携式的网络穿透工具,具有 SOCKS v5服务架设和端口转发两大核心功能,可在复杂网络环境下完成网络穿透。 注: 考虑到该工具影响很坏,该工具永久停止更新 该压缩包包含EW for Linux、Windows、Mac等操作系统的版本。EW 是一套便携式的网络穿透工具,具有 SOCKS v5服务架设和端口转发两大核心功能,可在复杂网络环境下完成网络穿透。 注: 考虑到该工具影响很坏,该工具永久停止更新 该压缩包包含EW for Linux、Windows、Mac等操作系统的版本。EW 是一套便携式的网络穿透工具,具有 SOCKS v5服务架设和端口转发两大核心功能,可在复杂网络环境下完成网络穿透。 注: 考虑到该工具影响很坏,该工具永久停止更新

2024-01-14

西南科技大学微机原理实验四(定时器程序设计)包含任务一与任务二的asm源文件附带LIB-TIM.OBJ文件

西南科技大学微机原理实验四(定时器程序设计)任务一与任务二asm源文件附带LIB_TIM.OBJ文件西南科技大学微机原理实验四(定时器程序设计)任务一与任务二asm源文件附带LIB_TIM.OBJ文件西南科技大学微机原理实验四(定时器程序设计)任务一与任务二asm源文件附带LIB_TIM.OBJ文件西南科技大学微机原理实验四(定时器程序设计)任务一与任务二asm源文件附带LIB_TIM.OBJ文件西南科技大学微机原理实验四(定时器程序设计)任务一与任务二asm源文件附带LIB_TIM.OBJ文件西南科技大学微机原理实验四(定时器程序设计)任务一与任务二asm源文件附带LIB_TIM.OBJ文件西南科技大学微机原理实验四(定时器程序设计)任务一与任务二asm源文件附带LIB_TIM.OBJ文件西南科技大学微机原理实验四(定时器程序设计)任务一与任务二asm源文件附带LIB_TIM.OBJ文件西南科技大学微机原理实验四(定时器程序设计)任务一与任务二asm源文件附带LIB_TIM.OBJ文件西南科技大学微机原理实验四(定时器程序设计)任务一与任务二asm源文件附带LIB_TIM.OBJ文件

2023-12-16

数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)

数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)数电实验考试综合设计mutisim及diamond仿真源文件(数值判别电路与十八进制计数器的设计)

2023-12-15

御剑1.5(含配置文件)

御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5(含配置文件)御剑1.5

2023-12-15

Layer子域名挖掘机4.2纪念版

Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域名挖掘机4.2纪念版Layer子域

2023-12-15

goby-红队专用版-for-Windows

goby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版_for_Windowsgoby_红队专用版

2023-12-15

西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)

西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)diamond仿真源文件(波形图)

2023-12-14

西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件

西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验七(4行串行累加器设计及FPGA实现)mutisim仿真源文件

2023-12-14

16+进制计数器(基于两片74LS160D实现高进制计数器)数电实验考试内容之一

包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件包含17到27进制计数器的mutisim仿真源文件

2023-12-13

西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件(波形)

西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件波形西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件波形西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件波形西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件波形西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件波形西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件波形西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件波形西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件波形西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件波形西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)diamond仿真源文件波形西南科技大学数字电子技术实验六(智力竞赛

2023-12-13

西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件

西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)mutisim仿真源文件西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)

2023-12-13

西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件

西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)diamond仿真源文件西科大数电实验五(用计数器设计简单秒表)

2023-12-12

西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件

西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件 西科大数电实验五(用计数器设计简单秒表)mutisim仿真源文件

2023-12-12

西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)diamond仿真源文件(波形图)包括D、JK、RS

西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)diamond仿真源文件(波形图)包括D、JK、RS西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)diamond仿真源文件(波形图)包括D、JK、RS西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)diamond仿真源文件(波形图)包括D、JK、RS西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)diamond仿真源文件(波形图)包括D、JK、RS西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)diamond仿真源文件(波形图)包括D、JK、RS西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)diamond仿真源文件(波形图)包括D、JK、RS西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)diamond仿真源文件(波形图)包括D、JK、RS西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)diamond仿真源文件(波形图)包括D、JK、RS西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)diamond仿真源文件(波形图)包括D、JK、RS

2023-12-11

西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件

西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件西科大数电实验四(基本触发器逻辑功能测试及FPGA的实现)mutisim仿真源文件

2023-12-11

西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)diamond源文件

西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)diamond源文件西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)diamond源文件西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)diamond源文件西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)diamond源文件西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)diamond源文件西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)diamond源文件西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)diamond源文件西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)diamond源文件西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)diamond源文件

2023-12-10

西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)mutisim仿真源文件

西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)西南科技大学数字电子技术实验三(MSI逻辑器件设计组合逻辑电路及FPGA的实现)

2023-12-10

西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件

西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件西南科技大学模拟电子技术实验七(集成运算放大器的非线性应用)仿真源文件

2023-12-04

西南科技大学模拟电子技术实验六(BJT电压串联负反馈放大电路)仿真源文件

西南科技大学模拟电子技术实验六(BJT电压串联负反馈放大电路)仿真源文件西南科技大学模拟电子技术实验六(BJT电压串联负反馈放大电路)仿真源文件西南科技大学模拟电子技术实验六(BJT电压串联负反馈放大电路)仿真源文件西南科技大学模拟电子技术实验六(BJT电压串联负反馈放大电路)仿真源文件西南科技大学模拟电子技术实验六(BJT电压串联负反馈放大电路)仿真源文件西南科技大学模拟电子技术实验六(BJT电压串联负反馈放大电路)仿真源文件

2023-12-04

西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件

西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件西南科技大学模拟电子技术实验五(集成运算放大器的应用设计)mutisim仿真源文件

2023-12-02

西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件

西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件西南科技大学模拟电子技术实验四(集成运算放大器的线性应用)mutisim原理仿真与工程仿真源文件

2023-12-02

模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件

模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿真源文件模电实验三(BJT单管共射放大电路测试)mutisim原理工程仿

2023-12-02

西南科技大学数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分

西科大数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分西科大数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分西科大数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分西科大数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分西科大数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分西科大数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分西科大数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分西科大数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分西科大数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分西科大数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )diamond源文件FPGA部分

2023-11-28

西南科技大学数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )mutisim原理仿真和工程仿真源文件

西南科技大学数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )mutisim原理仿真和工程仿真源文件西南科技大学数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )mutisim原理仿真和工程仿真源文件西南科技大学数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )mutisim原理仿真和工程仿真源文件西南科技大学数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )mutisim原理仿真和工程仿真源文件西南科技大学数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )mutisim原理仿真和工程仿真源文件西南科技大学数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )mutisim原理仿真和工程仿真源文件西南科技大学数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )mutisim原理仿真和工程仿真源文件西南科技大学数电实验二(SSI逻辑器件设计组合逻辑电路及FPGA实现 )mutisim原理仿真和工程仿真源文件

2023-11-28

西南科技大学模电实验二原理仿真和工程仿真mutisim源文件

模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件模电实验二原理仿真和工程仿真mutisim源文件

2023-11-28

西南科技大学模拟电子技术实验一(常用电子仪器的使用及电子元器件的识别)mutisim源文件(包括原理仿真和工程仿真)

西南科技大学模拟电子技术实验一(常用电子仪器的使用及电子元器件的识别)mutisim源文件(包括原理仿真和工程仿真)西南科技大学模拟电子技术实验一(常用电子仪器的使用及电子元器件的识别)mutisim源文件(包括原理仿真和工程仿真)西南科技大学模拟电子技术实验一(常用电子仪器的使用及电子元器件的识别)mutisim源文件(包括原理仿真和工程仿真)西南科技大学模拟电子技术实验一(常用电子仪器的使用及电子元器件的识别)mutisim源文件(包括原理仿真和工程仿真)西南科技大学模拟电子技术实验一(常用电子仪器的使用及电子元器件的识别)mutisim源文件(包括原理仿真和工程仿真)西南科技大学模拟电子技术实验一(常用电子仪器的使用及电子元器件的识别)mutisim源文件(包括原理仿真和工程仿真)西南科技大学模拟电子技术实验一(常用电子仪器的使用及电子元器件的识别)mutisim源文件(包括原理仿真和工程仿真)西南科技大学模拟电子技术实验一(常用电子仪器的使用及电子元器件的识别)mutisim源文件(包括原理仿真和工程仿真)

2023-11-27

西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )diamond源文件

西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )diamond源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )diamond源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )diamond源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )diamond源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )diamond源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )diamond源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )diamond源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )diamond源文件

2023-11-27

西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )mutisim虚拟仿真源文件

西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )mutisim虚拟仿真源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )mutisim虚拟仿真源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )mutisim虚拟仿真源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )mutisim虚拟仿真源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )mutisim虚拟仿真源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )mutisim虚拟仿真源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )mutisim虚拟仿真源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及FPGA 实现 )mutisim虚拟仿真源文件西南科技大学数字电子技术实验一(数字信号基本参数与逻辑门电路功能测试及F

2023-11-27

随波逐流CTF解码工具

由随波逐编写开发,CTF编码工具为用户提供丰富的加密解密功能,还可以对字符编码进行转换,用户可以根据自己的需求来使用功能,非常实用,能够提高大家的工作效率! CTF编码工具是各种编码解码离线集成:包括base64,base32,base16,base85(a),base85(b),base58,base36,base91,base92,培根bacon,摩斯,键盘,猪圈,Rot13,Quoted,Atbash,JSFuck,JJEncode,BrainFuck,URL,Unicode-str,Unicode-Ascii,Bytes,Escape,栅栏fence,凯撒Caesar。维吉尼亚,Gronsfeld,博福特,自动密钥,列位移,城门,简单换位,普莱费尔,FracMorse,Rc4,ADFGX/ADFGVX,双密码,仿射,波利比斯,AES/DES/3DES。

2023-10-08

IDA-Pro-7.7-全插件版

IDA Pro(Interactive Disassembler Professional)简称“IDA”,是Hex-Rays公司出品的一款交互式反汇编工具,是目前最棒的一个静态反编译软件,为众多0day世界的成员和ShellCode安全分析人士不可缺少的利器。IDA Pro具有强大的功能,但操作较为复杂,需要储备很多知识,同时,它具有交互式、可编程、可扩展、多处理器等特点,可以通过Windows或Linux、MacOS平台来分析程序, 被公认为最好的逆向工程利器之一。 IDA Pro已经成为分析敌意代码的标准并让其自身迅速成为攻击研究领域的重要工具。它支持数十种CPU指令集其中包括Intel x86、x64、MIPS、PowerPC、ARM、Z80、68000、c8051等等。 IDA Pro是点击下一步傻瓜式安装,安装成功会后会显示两个运行程序“IDA Pro(32bit)”和“IDA Pro(64bit)”,分别对应32位和64位程序的分析。IDA支持常见的PE格式,DOS、UNIX、Mac、Java、.NET等平台的文件格式。

2023-07-09

ARCHPR 密码恢复破解工具

ARCHPR是一款强大又专业的密码恢复工具,软件主要是用于解密RAR压缩包的密码,它能够帮助用户轻松的解锁各种带有密码的压缩包文件。 是 Elcomsoft 开发的一款压缩包密码破解工具,支持广泛的压缩包类型和加密算法。 ARCHPR 支持四种破解类型:暴力、字典、明文、掩码。 暴力 Brute-force 暴力破解方式是大家最容易想到使用的方式,从纯数字、纯字母等范围中,穷举并尝试破解密码。对于单独的类型效率比较高,如果是既有数字、字母大小写、特殊字符,位数在8位以上的,破解时间非常久。 字典 字典破解方式是使用从网上收集的常用密码字典尝试破解,有些人也可能会收集到之前各类安全事件中泄漏出来的用户真实密码。 掩码 如果有办法获取到密码中的一部分内容,例如知道某一位是一个数字,则可以使用掩码模式。 明文 明文破解方式是在你知道压缩包中的部分文件内容或部分文件时,可以利用已知文件来寻找加密密钥。

2023-07-09

Seay源代码审计系统(AWD必备)

Seay源代码审计系统 这是一款基于C#语言开发的一款针对PHP代码安全性审计的系统,主要运行于Windows系统上。这款软件能够发现SQL注入、代码执行、命令执行、文件包含、文件上传、绕过转义防护、拒绝服务、XSS跨站、信息泄露、任意URL跳转等漏洞,基本上覆盖常见PHP漏洞。另外,在功能上,它支持一键审计、代码调试、函数定位、插件扩展、自定义规则配置、代码高亮、编码调试转换、数据库执行监控等数十项强大功能。

2023-07-09

Githack(Git泄露利用必备工具)

当前大量开发人员使用git进行版本控制,对站点自动部署。如果配置不当,可能会将.git文件夹直接部署到线上环境。这就引起了git泄露漏洞。 GitHack是一个.git泄露利用测试脚本,通过泄露的文件,还原重建工程源代码。 Git信息泄露的危害很大,渗透测试人员、攻击者,可直接从源码获取敏感配置信息(如:邮箱,数据库),也可以进一步审计代码,挖掘文件上传、SQL注射等安全漏洞。

2023-07-09

AWD比赛各类必备脚本

包括ip生成、kill、monitor、waf、不死马、反弹shell、后台flag读取、批量修改ssh、文件包含、文件上传、预留后门利用等AWD比赛常用的各种必备脚本。

2023-07-09

HVV行动各大厂商面试总结

包括天融信、漏洞盒子、长亭、安恒、青藤、奇安信、360、极盾科技、国誉网安 阿里以及其他未知厂商的面试总结:1、HW经历(取得的成果)、主要负责什么 2、溯源和应急 3、擅长web还是内网 1、印象最深的渗透经历,技术关键点 2、是否在漏洞平台有提交过漏洞,以及排名情况 3、平时挖洞的情况,平台提交漏洞和渗透测试方面 1、 谈谈HW经历 2、 谈谈挖洞和渗透印象较深的两次,过程、方法,获取了什么权限 3、 谈谈内网流量如何出来 4、 使用过哪些溯源平台 1、 谈谈作为蓝队护网过程使用过厂商的设备, 2、 如何查看系统内存shel 3、 Linux的登录日志查看文件 4、 获得文件读取漏洞,通常会读哪些文件,Linux和windows都谈谈 配置文件 1、基础漏洞 2、溯源和应急响应 1、HW经历(取得的成果)、主要负责什么 2、溯源和应急 3、擅长web还是内网 1、印象最深的渗透经历,技术关键点 2、是否在漏洞平台有提交过漏洞,以及排名情况 3、平时挖洞的情况,平台提交漏洞和渗透测试方面 1、 谈谈HW经历 2、 谈谈挖洞和渗透印象较深的两次 ......

2023-07-09

蓝桥杯单片机(IAP15F2K61S2)资源数据包-2023

主要针对蓝桥杯51单片机(IAP15F2K61S2)比赛,包括STC_ISP软件包、USB驱动程序、底层程序代码参考、PDF阅读器、代码阅读器VScode、串口调试助手、竞赛板芯片资料、IAP15F2K61S2单片机转接板技术资料、硬件版本说明、共阳数码管段码表、STC15系单片机用户手册、sch硬件原理图、IAP15F2K61S2单片机仿真使用说明、ch341、74hc等

2023-07-09

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除