1065 A+B and C (64bit) (20分)

Given three integers A, B and C in [−2​63​​,2​63​​], you are supposed to tell whether A+B>C.

Input Specification:

The first line of the input gives the positive number of test cases, T (≤10). Then T test cases follow, each consists of a single line containing three integers A, B and C, separated by single spaces.

Output Specification:

For each test case, output in one line Case #X: true if A+B>C, or Case #X: false otherwise, where X is the case number (starting from 1).

Sample Input:

3
1 2 3
2 3 4
9223372036854775807 -9223372036854775808 0

Sample Output:

Case #1: false
Case #2: true
Case #3: false

一开始写也不知道可以直接判断相加溢出以及溢出怎么样,所以写成了字符串相加形式。 

#include<iostream>
#include<vector>
#include<algorithm>
#include<cstdio>
#include<cstring>
#include<cstdlib>
#include<map>
#include<queue>
#include<stack>
#include<cmath>
#include<set>
using namespace std;

string add(string aa,string bb)
{
    int i=aa.size()-1,j=bb.size()-1;
    string res="";
    int flag=0;
    while(i>=0&&j>=0)
    {
        int tp=aa[i]-'0'+bb[j]-'0'+flag;
        flag = 0;
        if(tp>=10)flag = 1;
        int yu = tp%10;
        char ch[100];
        sprintf(ch,"%d",yu);
        res=ch+res;
        i--;
        j--;
    }
    while(i>=0)
    {
        int tp=aa[i]-'0'+flag;
        flag = 0;
        if(tp>=10)
        {
            flag=1;
        }
        int yu = tp%10;
        char ch[100];
        sprintf(ch,"%d",yu);
        res=ch+res;
        i--;
    }
    while(j>=0)
    {
        int tp=bb[j]-'0'+flag;
        flag=0;
        if(tp>=10)
        {
            flag=1;
        }
        int yu = tp%10;
        char ch[100];
        sprintf(ch,"%d",yu);
        res=ch+res;
        j--;
    }
    if(flag == 1)
    {
        res = string("1")+res;
    }
    //cout<<"resL "<<res<<endl;
    return res;
}

int main()
{
    //add("123","9999");
    int k,cnt=0;
    string a,b,c;
    cin>>k;
    while(cnt<k)
    {
        cnt++;
        string left="0",right="0";
        cin>>a>>b>>c;
        if(a[0] == '-')
        {
            a.erase(0,1);
            right = add(right,a);
        }
        else
        {
            left = add(left,a);
        }
       // cout<<"left: "<<left<<" right: "<<right<<endl;

        if(b[0] == '-')
        {
            b.erase(0,1);
            right = add(right,b);
        }else{
            left = add(left,b);
        }
    //cout<<"left: "<<left<<" right: "<<right<<endl;
        if(c[0] == '-')
        {
             c.erase(0,1);
            left = add(left,c);
        }else{
        right = add(right,c);
        }
       // cout<<"left: "<<left<<" right: "<<right<<endl;
        printf("Case #%d: ",cnt);
        if(left.size()>right.size())
        {
              printf("true\n");
        }else if(left.size() == right.size())
        {
            if(left<=right)
        { printf("false\n");

        }
        else
        {
            printf("true\n");
        }
        }else{
            printf("false\n");
        }


    }


    return 0;
}

数字的范围是[−2^​63​​,2​^63​​], 而long long 的范围是[-2^63,2^63),两个数相加会溢出,符号位会取反。 先判断会溢出的情况,注意两个最大的负数相加会导致结果为0,故负数相加判断时要判断大于等于0,而最大正数溢出导致的是负数,只需要判断是否小于0即可。

#include<iostream>
#include<vector>
#include<algorithm>
#include<cstdio>
#include<cstring>
#include<cstdlib>
#include<map>
#include<queue>
#include<stack>
#include<cmath>
#include<set>
using namespace std;

int main()
{
    //add("123","9999");
    int k,cnt=0;
    long long a,b,c;
    cin>>k;
    while(cnt<k)
    {
        cnt++;
        cin>>a>>b>>c;
        long long ab = a+b;
        cout<<"res:"<<ab<<endl;
        if(a>0&&b>0&&ab<0)
        {
            printf("Case #%d: true\n",cnt);
        }else if(a<0&&b<0&&ab>=0)
        {
            printf("Case #%d: false\n",cnt);
        }else if(ab>c)
        {
            printf("Case #%d: true\n",cnt);
        }else
            printf("Case #%d: false\n",cnt);
    }
    return 0;
}

 

好的,我可以为您提供一个简单的Verilog代码和Testbench来实现这个8位7功能算术逻辑部件。代码如下: ``` module alu( input [7:0] A, input [7:0] B, input Ci, input [2:0] op, output reg [7:0] D, output reg CF, output reg ZF ); reg [3:0] A_add, B_add; reg [4:0] A_sub, B_sub; reg [7:0] A_and, A_or, A_xor, A_nor; assign A_add = {1'b0, A}; assign B_add = {1'b0, B}; assign A_sub = {1'b0, ~A, 1'b1}; assign B_sub = {1'b0, B}; assign A_and = A & B; assign A_or = A | B; assign A_xor = A ^ B; assign A_nor = ~(A | B); always @(*) begin case (op) 3'b000: D = A_add + B_add + Ci; 3'b001: D = A_add + B_sub + Ci; 3'b010: D = A_add + B_add + Ci; 3'b011: D = A_add + B_sub - Ci; 3'b100: D = A_and; 3'b101: D = A_or; 3'b110: D = A_xor; 3'b111: D = A_nor; endcase CF = D[8]; ZF = (D == 0); end endmodule ``` Testbench模块: ``` module alu_tb; reg [7:0] A; reg [7:0] B; reg Ci; reg [2:0] op; wire [7:0] D; wire CF; wire ZF; alu dut( .A(A), .B(B), .Ci(Ci), .op(op), .D(D), .CF(CF), .ZF(ZF) ); initial begin $dumpfile("alu_tb.vcd"); $dumpvars(0, alu_tb); #1; // 功能控制: 000:A + B; 001:A – B; 010:A + B + Ci; 011:A - B - Ci; 100:A & B; 101:A # B; 110:A @ B; 111:A @ B; A = 8'b01010101; B = 8'b10101010; Ci = 0; op = 3'b000; #1; if (D !== 8'b00000000 || CF !== 1'b1 || ZF !== 1'b0) begin $display("ADD, ERROR"); end else begin $display("ADD, OK"); end op = 3'b001; #1; if (D !== 8'b11111111 || CF !== 1'b0 || ZF !== 1'b0) begin $display("SUB, ERROR"); end else begin $display("SUB, OK"); end op = 3'b010; Ci = 1; #1; if (D !== 8'b00000000 || CF !== 1'b1 || ZF !== 1'b0) begin $display("ADC, ERROR"); end else begin $display("ADC, OK"); end op = 3'b011; Ci = 1; #1; if (D !== 8'b11111111 || CF !== 1'b0 || ZF !== 1'b0) begin $display("SBC, ERROR"); end else begin $display("SBC, OK"); end op = 3'b100; #1; if (D !== 8'b00000000 || CF !== 1'b0 || ZF !== 1'b1) begin $display("AND, ERROR"); end else begin $display("AND, OK"); end op = 3'b101; #1; if (D !== 8'b11111111 || CF !== 1'b0 || ZF !== 1'b0) begin $display("OR, ERROR"); end else begin $display("OR, OK"); end op = 3'b110; #1; if (D !== 8'b11111111 || CF !== 1'b0 || ZF !== 1'b0) begin $display("XOR, ERROR"); end else begin $display("XOR, OK"); end op = 3'b111; #1; if (D !== 8'b00000000 || CF !== 1'b0 || ZF !== 1'b0) begin $display("NOR, ERROR"); end else begin $display("NOR, OK"); end #10; $finish; end endmodule ``` 在这个Testbench中我们进行了所有功能的测试,并通过比较输出结果和预期结果来判断是否正确。如果正确,输出“功能名称, OK”,否则输出“功能名称, ERROR”。其中,CF表示进位标识位,ZF表示零标识位。 希望这个代码能够帮到您,如果还有其他问题,请随时提出。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值