同步FIFO之VHDL描述

同步FIFO之VHDL描述

同步FIFO的意思是说FIFO的读写时钟是同一个时钟,不同于异步FIFO,异步FIFO的读写时钟是完全异步的。同步FIFO的对外接口包括时钟,清零,读请求,写请求,数据输入总线,数据输出总线,空以及满信号。下面分别对同步FIFO的对外接口信号作一描述:

1. 时钟,输入,用于同步FIFO的读和写,上升沿有效;

2. 清零,输入,异步清零信号,低电平有效,该信号有效时,FIFO被清空;

3. 写请求,输入,低电平有效,该信号有效时,表明外部电路请求向FIFO写入数据;

4. 读请求,输入,低电平有效,该信号有效时,表明外部电路请求从FIFO中读取数据;

5. 数据输入总线,输入,当写信号有效时,数据输入总线上的数据被写入到FIFO中;

6. 数据输出总线,输出,当读信号有效时,数据从FIFO中被读出并放到数据输出总线上;

7. 空,输出,高电平有效,当该信号有效时,表明FIFO中没有任何数据,全部为空;

8. 满,输出,高电平有效,当该信号有效时,表明FIFO已经满了,没有空间可用来存贮数据。

使用VHDL描述的FIFO将以上面的接口为基础,并且可以参数化配置FIFO的宽度和深度。先把对外接口描述出来吧。

---------------------------------------------------------------------------------------------------------

-- Designer skycanny

-- Date 2007-1-29

-- Description : Synchronous FIFO created by VHDL

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity sfifo is

 generic(width positive

 depth positive

 );

 port

 (

 clk in std_logic;

 rst in std_logic;

 wq in std_logic;

 rq in std_logic;

 data in std_logic_vector(width - 1 downto 0);

 in std_logic_vector(width - 1 downto 0);

 empty out std_logic;

 full out std_logic

 );

end entity sfifo;

同步FIFO内部通过控制电路和RAM实现,控制电路主要包括写指针管理电路,读指针管理电路,以及FIFO状态判断电路,对于同步FIFO来讲,读和写的指针管理电路实际上就是二进制计数器。

 现在的FPGA都具有Block RAM,通过VHDL描述可以对其进行调用,为了能够实现任意深度和宽度的FIFO,那么在用VHDL描述RAM的时候需要使用generic使得RAM的调用能够参书化。同样,对于读写指针计数器,也需要参数化的描述方法。

 下面主要对FIFO的状态判断如何判断进行一些说明。假设宽度任意而深度为8的FIFO,当读指针read_pointer和写指针write_pointer的值一样的时候,很显然,这时FIFO的状态为空。比较麻烦的是对FIFO是否已经满的状态的判断,因为存在两种情况,第一种情况时写指针write_pointer比读指针read_pointer大,比如writer_pointer = 7而read_pointer = 0,还有一种情况时写指针writer_pointer比读指针read_pointer小,比如writer_pointer = 2而read_pointer = 3。由于读写电路在循环的读写RAM,所以在上面的两种情况下FIFO实际上都已经满了。那么如何对读写指针的判断比较容易的得出FIFO已经满了,同时这样的判断电路还要容易参数化?第一种情况下,write_pointer – read_pointer = 7,实际上就是FIFO深度减一,第二种情况下,(write_pointer + 8) – read_pointer = 7,也是FIFO深度减一。从上面的讨论就可以很容易进行判断FIFO状态了,假设FIFO的深度用depth表示,则FIFO状态判断用伪码表示如下:

1. Empty状态判断:

If writer_pointer = read_pointer

 FIFO is empty;

Else

 FIFO is not empty;

End if;

 2. Full状态判断:

 If writer_pointer > read_pointer

 If write_pointer – read_pointer = depth

 FIFO is full;

 Else

 FIFO is not full;

 End if;

Else

 If write_pointer – read_pointer = 1

 FIFO is full;

 Else

 FIFO is not full;

 End if;

End if;

  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值